CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - clock

搜索资源列表

  1. clock.rar

    0下载:
  2. 单片机电子时钟,6数码管显示功能。并具有时间调节功能。,Single-chip electronic clock, 6 digital tube display. And have the time adjustment function.
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:641
    • 提供者:sacxon
  1. daojishi.rar

    0下载:
  2. DELPHI倒计时时钟源码,支持自定义倒计时秒数功能,DELPHI countdown clock source code to support custom features countdown seconds
  3. 所属分类:Delphi VCL

    • 发布日期:2017-03-31
    • 文件大小:165474
    • 提供者:csdner
  1. GDI2BClock.rar

    0下载:
  2. 一个delphi实现的时钟程序。在桌面上可任意移动。对钩子感兴趣的朋友可以看下。,A delphi program to achieve the clock. On the desktop can be mobile. Friends of the hook can be of interest to facie.
  3. 所属分类:Delphi VCL

    • 发布日期:2014-07-18
    • 文件大小:1025600
    • 提供者:abclililove
  1. FPGA_nCLK.rar

    0下载:
  2. VHDL语言的高频时钟分频模块。一种新的分频器实现方法。,VHDL language at the high-frequency clock frequency modules. Divider to achieve a new method.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:48997
    • 提供者:李超
  1. PCF8563-clock.rar

    0下载:
  2. 采用时钟芯片PCF8563,通过51单片机控制用数码管实现年月日时分秒星期的显示功能!,The use of the clock chip PCF8563, through control 51 single-chip digital control to achieve accurate date when the display a week!
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-30
    • 文件大小:58908
    • 提供者:chen
  1. 89C52DS13021602clockprotuessim

    0下载:
  2. 用89C52+DS1302+1602液晶做的多功能音乐播放器(多级菜单),可设闹钟,附Proteus图与源程序 实用啊~~,89C52+ DS1302+1602 used to do multi-function LCD music player (multi-level menu), can set up alarm clock, with practical source Proteus map ah ~ ~
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:76023
    • 提供者:jun
  1. i2c_clock.zip

    1下载:
  2. 16*2 LCD 电子钟程序,使用DS1307实时芯片+AT89C51,理想的电子钟,16* 2 LCD electronic clock, the use of real-time DS1307 chip+ AT89C51, ideal for electronic clock
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-29
    • 文件大小:114590
    • 提供者:liping
  1. clock.rar

    0下载:
  2. msp430F5438的实时时钟调试程序,Real Time Clock msp430F5438 debugger
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2017-03-30
    • 文件大小:41889
    • 提供者:bavol
  1. clock

    0下载:
  2. 这是一个vc++ 6.0 mfc编写的一个单文档程序,它实现的功能实在程序的客户区内绘制一个指针式的钟表,代码很简单,适宜初学者学习-This is a vc++ 6.0 mfc program written in a single document, which functionality is implemented procedures to draw the client area of a pointer of the clock, the code is very simple,
  3. 所属分类:GDI-Bitmap

    • 发布日期:2017-03-29
    • 文件大小:17085
    • 提供者:
  1. Clock

    0下载:
  2. 两个功能不错的闹钟源代码,实现开机启动、最小化托盘。-Nice alarm clock two functions source code, and boot start, minimize tray.
  3. 所属分类:CSharp

    • 发布日期:2017-04-02
    • 文件大小:400532
    • 提供者:任海涛
  1. clock

    0下载:
  2. msp430单片机系统时钟初始化函数,函数的入口参数为系统时钟的配置参数-msp430 microcontroller system clock initialization function, the function of the entry parameters for the system clock configuration parameters
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:675
    • 提供者:吴溪
  1. CLOCK

    0下载:
  2. 用C语言实现的指针型时钟模拟,可简单修改移植用在LED显示屏上-Pointer in C language based simulation clock can simply modify the transplant on the LED display
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:1127
    • 提供者:hwj
  1. clock-VS2010

    0下载:
  2. 本程序简单实用了VS2010编写了一个简单的时钟显示程序-The program is about the application of VS2010 to edit a simple program which is about clock show
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-26
    • 文件大小:716872
    • 提供者:likly
  1. clock

    0下载:
  2. 利用API写的一个时钟程序,VS2008编写-Using API to write a clock program, VS2008 write
  3. 所属分类:Dialog_Window

    • 发布日期:2017-04-05
    • 文件大小:235195
    • 提供者:slientfencer
  1. CLOCK

    0下载:
  2. 数电课程设计报告——《数字钟的设计》,包含报告、目录、原理图(dwg格式)-Number of electrical curriculum design- the " digital clock design" , including reports, directories, schematic (dwg format)
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-03
    • 文件大小:86536
    • 提供者:inxe
  1. AT89C51-SCM-electronic-clock-design

    0下载:
  2. 基于AT89C51单片机设计的电子时钟,详细思路说明及各模块介绍,适合自学开发人员-AT89C51 microcontroller-based design of electronic clock, and the detailed descr iption of the module introduces ideas for self-learning developers
  3. 所属分类:software engineering

    • 发布日期:2017-03-28
    • 文件大小:740719
    • 提供者:dcdos139
  1. Clock

    0下载:
  2. 一个用java编写的时钟小程序,用于学习java的基本编程原理。-A clock with a small program written in java, java for learning basic programming principles
  3. 所属分类:Applet

    • 发布日期:2017-04-10
    • 文件大小:1509
    • 提供者:luningtaba
  1. clock

    0下载:
  2. 使用8脚单片机STC15F104E DS1307 DS18B20 以及LCD1602 红外接收头制作的迷你时钟,其中驱动1602只使用了两个IO口。遥控器芯片兼容HT6122,采用状态机方式完成解码。-Use 8-pin microcontroller STC15F104E DS1307 DS18B20 and LCD1602 produced mini infrared receiver clock, which drives 1602 using two IO ports. Remote c
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:16832
    • 提供者:
  1. Course-design-electronic-clock

    0下载:
  2. 单片机课程电子钟设计,基本功能都有,希望对大家有所帮助。-SCM course electric clock design, basic functions there, hope to help.
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:157536
    • 提供者:liu
  1. BC1602AB-01-CLOCK

    0下载:
  2. BC1602AB-01显示电子时钟演示程序-BC1602AB-01 dispaly the electronic clock program.
  3. 所属分类:Shop supermarket software system

    • 发布日期:2017-04-01
    • 文件大小:3164
    • 提供者:xinyi
« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 ... 50 »
搜珍网 www.dssz.com