CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhd

搜索资源列表

  1. seven

    0下载:
  2. seven.vhd 七人表决器VHDL源码 七人表决器.doc 程序说明-seven.vhd seven votes for VHDL source code for seven votes. A descr iption of the procedures for doc
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4415
    • 提供者:杨奎元
  1. s_machine

    0下载:
  2. right.vhd 序列发生器 s_machine.vhd 序列检测器 波形图.doc 程序运行波形-right.vhd s_machine.vhd sequence generator waveform sequence detector map. doc procedures Waveform
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:60853
    • 提供者:杨奎元
  1. pl_auto1

    0下载:
  2. 文件名:pl_auto1.vhd。 --功能:货物信息存储,进程控制,硬币处理,余额计算,显示等功能。 --说明:显示的钱数coin的 以5角为单位。 -File Name : pl_auto1.vhd. -- Functions : cargo storage, process control, coins, balance calculation and display functions. -- Note : the amount of money to show the co
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:143650
    • 提供者:钱亮
  1. pcm_coding0.vhd

    0下载:
  2. PCM编码源码-PCM coding FOSS ********************************************************
  3. 所属分类:语音合成与识别

    • 发布日期:2008-10-13
    • 文件大小:2343
    • 提供者:
  1. SRAM_HW_Code

    0下载:
  2. The Hardware folder contains the following files:- 1) Sram_Interface.bit -----------------> Bitstream File 2) Sram_Interface.ucf -----------------> UCF File 3) Sram_Interface.vhd -----------------> Main Entity 4) Sram_Int
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:7910
    • 提供者:dido wang
  1. 12_convert

    0下载:
  2. convert.vhd 本例是从程序包中提取出来的,不能单独编译-convert.vhd the cases from the package is extracted, not separate compiler
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:849
    • 提供者:fjai
  1. chengxufengxiang

    0下载:
  2. 这些程序我用MAX+PlusII软件测试均能通过编译,程序本身不复杂,旨在为刚接触VHDL语言的朋友提供一些样例,以便了解VHDL语言的基本构成。如果要运行测试,则新建文件名应于程序中实体名一致,文件后缀“.vhd”,不推荐直接通过复制、粘贴的方法录入程序,可能会引入错误字符。 -these procedures I used MAX PlusII Software Testing pass compiler, the process itself is not complicated. for
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1802
    • 提供者:zhaoting
  1. fftipcore

    0下载:
  2. 该程序是vhdl语言编写的fft变换的ip核代码,程序中共包含了36个.vhd文件-that the procedure was prepared by the vhdl language fft transform ip nuclear code CPC procedures contained 36. vhd documents
  3. 所属分类:中间件编程

    • 发布日期:2008-10-13
    • 文件大小:29774
    • 提供者:袁汇
  1. Uart2

    0下载:
  2. uart的VHDL源代码,包括intface.VHD UART_RX_TAB.VHD UART_INT_TB.VHD等-uart VHDL source code, including intface.VHD UART_RX_TAB.VHD UART_INT_TB. Volume etc.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:43732
    • 提供者:罗辉
  1. LED_clock_quartus

    0下载:
  2. 用VHDL语言实现数显时钟,devid200.vhd为分频模块,scan.vhd为LED扫描模块,timecount.vhd为计数模块-VHDL digital clock, devid200.vhd for frequency module, scan.vhd for LED scanning module, timecount.vhd for counting module
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3123
    • 提供者:王龙
  1. sourceIIR6

    0下载:
  2. IIR 六阶数字滤波器的 VHD L 描述-six-IIR Digital Filter Volume L Descr iption
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:767987
    • 提供者:songbo
  1. sobel

    0下载:
  2. 这是本人自己编写的可用于256*256大小的图像进行sobel边缘检测的vhd文件,可在QuartusII或MaxplisII下综合和仿真,并在FPGA上测试过。可以进行修改支持其他大小图像的sobel边缘检测,同时还可以实现其它的图像模块化处理算法,例如高斯滤波,平滑等。-this is my own preparation for the 256 * 256 size of the image segmentation Edge Detection vhd document in the n
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3135
    • 提供者:刘洋
  1. step_motor.vhd

    0下载:
  2. 用VHDL编写的步进电机控制方法.供大家参考用.-prepared using VHDL stepper motor control methods. For your reference.
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-15
    • 文件大小:1061
    • 提供者:lfy
  1. dc_motor.vhd

    0下载:
  2. 用VHDL编写简单的直流电机控制方法.供大家参考.-use VHDL to prepare a simple DC motor control methods. For your reference.
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-15
    • 文件大小:2406
    • 提供者:lfy
  1. C_8259.vhd

    1下载:
  2. 用VHDL编写的8259控制,供大家使用.-with VHDL control of the preparation of the 8259, for your use.
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-15
    • 文件大小:7820
    • 提供者:lfy
  1. VHDL

    0下载:
  2. VHD设计实例8位加法器的设计分频电路数字秒表的设计
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:569597
    • 提供者:yyy
  1. LCD.driver

    0下载:
  2. 文件名:lcd_driver.vhd。 --功能:FGAD驱动LCD显示中文字符“年”。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3915
    • 提供者:郭海东
  1. cpu

    0下载:
  2. vhd语言,简单cpu程序实例,包含所有源码文件。
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:2796608
    • 提供者:阿若有
  1. smartcard_vhdl

    0下载:
  2. SD卡读写的VHDL VHDL Source Files in Smartcard: Top.vhd - top level file smartcard.vhd conver2ascii.vhd binary2bcd.vhd lcd.vhd power_up.vhd
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:420995
    • 提供者:gbh
  1. vhd

    0下载:
  2. 一个VHDL电梯控制器的程序 1、 每层电梯的入口处设有上下请求开关,电梯内设有乘客到达层次的停站请求开关。 2、 设有电梯所处位置指示装置及电梯运行模式(上升或下降)指示装置。 3、 电梯每秒升降一层。 4、 电梯到达有停站请求的楼层后,经过1s电梯打开,开门只是灯亮,开门4s后,电梯门关闭(关门指示灯灭),电梯继续运行,直至执行完请求信号后停在当前楼层。 5、 能记忆电梯内外的所以请求信号,并按照电梯运行规则依次响
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:124986
    • 提供者:123456
« 1 2 34 5 6 7 8 9 10 ... 20 »
搜珍网 www.dssz.com