CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhd

搜索资源列表

  1. YEAR_COUNT.vhd

    0下载:
  2. 电子数字日历中的年代码 ,可以记到万年,俗称万年历-Electronic digital calendar year code, can be credited to years, commonly known as calendar
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-29
    • 文件大小:956
    • 提供者:Leejohn
  1. qiangdaqi

    0下载:
  2.   (1) 抢答器线路测试功能   为了保证比赛的正常进行,比赛前需要调试线路能否正常工作。    (2) 第一抢答信号的鉴别和锁存功能   可以判断谁最先抢到回答的资格,其相应的绿灯表示抢答成功,并具有锁存功能,一直到下一题开始。    (3) 犯规警示功能   可以判断出参赛者有没有在主持人读题的期间按下抢答器,有则相应的红灯亮,同时取消其本轮抢答资格。    (4) 计时功能   可以预置时间,可以进行倒计时并且将时间显示出来。    (5) 计分功能
  3. 所属分类:SCM

    • 发布日期:2017-03-24
    • 文件大小:956489
    • 提供者:孙国栋
  1. stack.vhd

    0下载:
  2. stack for the protocol used to implement into FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:5553
    • 提供者:pravin
  1. xapp860

    0下载:
  2. 16通道DDR的LVDS接口(VHDL,Verilog and doc)-16-Channel, DDR LVDS Interface with Real-Time Window Monitoring
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:650173
    • 提供者:wicky
  1. can_parts

    0下载:
  2. This the CAN bus controller for implementation inside any FPGA-This is the CAN bus controller for implementation inside any FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:38687
    • 提供者:Sergey
  1. hpiir

    0下载:
  2. FPGA文件程序,irr型低通滤波器,vhd程序 -FPGA program file, irr-type low-pass filter, vhd procedures
  3. 所属分类:DSP program

    • 发布日期:2017-04-10
    • 文件大小:1236890
    • 提供者:袖手人
  1. DAC0832

    0下载:
  2. 8.6 DAC0832 接口电路程序 见随书所附光盘中文件:DAC0832VHDL程序与仿真。 --文件名:DAC0832.VHD --功能:产生频率为762.9Hz的锯齿波。 --最后修改日期:2004.3.18。 -8.6 DAC0832 Interface Circuit procedures, see the book with accompanying CD-ROM in the file: DAC0832VHDL and simulation procedures
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:3159
    • 提供者:wangnan
  1. ADC0809VHDL

    0下载:
  2. 8.4 ADC0809 VHDL控制程序 见随书所附光盘中文件:ADC0809VHDL程序与仿真。 --文件名:ADC0809.vhd --功能:基于VHDL语言,实现对ADC0809简单控制 --说明:ADC0809没有内部时钟,需外接10KHz~1290Hz的时钟信号,这里由FPGA的系 --统时钟(50MHz)经256分频得到clk1(195KHz)作为ADC0809转换工作时钟。 --最后修改日期:2004.3.20 -8.4 ADC0809 VHDL con
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:3915
    • 提供者:wangnan
  1. LEDVHDL

    0下载:
  2. 8.2 LED控制VHDL程序与仿真 本节分别介绍采用FPGA对LED进行静态和动态显示的数字时钟控制程序。 1. 例1:FPGA驱动LED静态显示 --文件名:decoder.vhd。 --功能:译码输出模块,LED为共阳接法。 --最后修改日期:2004.3.24。 -8.2 LED control and simulation of VHDL procedures introduced in this section of the LED using FPGA st
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:5263
    • 提供者:wangnan
  1. TLC5510VHDL

    0下载:
  2. 8.5 TLC5510 VHDL控制程序 见随书所附光盘中文件:TLC5510VHDL程序与仿真。 --文件名:TLC5510.vhd --功能:基于VHDL语言,实现对高速A/D器件TLC5510控制 --最后修改日期:2004.3.20 -8.5 TLC5510 VHDL control procedures, see the book with accompanying CD-ROM in the file: TLC5510VHDL procedures and sim
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:3043
    • 提供者:wangnan
  1. TLC7524

    0下载:
  2. 8.7 TLC7524接口电路程序 见随书所附光盘中文件:TLC7524VHDL程序与仿真。 --文件名:TLC7524.VHD --功能:产生156.25KHz的正弦波。 --最后修改日期:2004.3.18。 -8.7 TLC7524 interface circuit procedures see accompanying CD-ROM with the book files: TLC7524VHDL and simulation procedures.- File N
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:3743
    • 提供者:wangnan
  1. pci32_top_pci32_v4_8.vhd

    0下载:
  2. XINLINX的PCI核源文件代码,这是我在网上找的,希望对大家有用!-THE SOURCE FILE OF PCI CORE,IT IS FOUND ON INTERNET. MAYBE IT IS USEFUL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:4782
    • 提供者:qiuli
  1. dianti.vhd

    0下载:
  2. 电梯控制器的VHDL源程序 很有代表性 经简单修改后可用于n层控制 -Lift Controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:5085
    • 提供者:jimmy
  1. DAC0832

    0下载:
  2. 文件名:DAC0832.VHD 功能:产生频率为762.9Hz的锯齿波。 -File Name: DAC0832.VHD Function: generate the sawtooth frequency of 762.9Hz.
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:3168
    • 提供者:Aria
  1. LED

    0下载:
  2. 文件名:decoder.vhd。 功能:译码输出模块,LED为共阳接法。 -File Name: decoder.vhd. Function: decoder output module, LED access method for a total of Yang.
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:5267
    • 提供者:Aria
  1. TLC5510

    0下载:
  2. 文件名:TLC5510.vhd 功能:基于VHDL语言,实现对高速A/D器件TLC5510控制 -File Name: TLC5510.vhd features: Based on the VHDL language, to achieve high-speed A/D control devices TLC5510
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:3048
    • 提供者:Aria
  1. 64_TLC

    0下载:
  2. 交通灯控制器 请注意: 本例的各个源描述的编译顺序应该是: 64_tlc.vhd 64_test_vector.vhd-Traffic Light Controller Please note: This case is described in various sources to compile the order should be: 64_tlc.vhd 64_test_vector.vhd
  3. 所属分类:assembly language

    • 发布日期:2017-04-08
    • 文件大小:2252
    • 提供者:朱琦
  1. 52_divider

    0下载:
  2. 多倍(次)分频器 请注意: 本例的各个源描述的编译顺序应该是: 52_divider.vhd 52_divider_stim.vhd-Times (times) divider Please note: This case is described in various sources to compile the order should be: 52_divider.vhd 52_divider_stim.vhd
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1444
    • 提供者:朱琦
  1. 55_falsepath

    0下载:
  2. 地址计数器 请注意: 本例的各个源描述的编译顺序应该是: 55_falsepath.vhd 55_falsepath_stim.vhd-Address counter Please note: This case is described in various sources to compile the order should be: 55_falsepath.vhd 55_falsepath_stim.vhd
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-13
    • 文件大小:2490
    • 提供者:朱琦
  1. fft_gen

    0下载:
  2. FFT vhdl generic: I m new to vhdl, and I tried to use xilinx fft core, but when I try to simulate it in test bench using ise simulator, I get zero results. here is what I do: 1- from core generator I choose fft core and create .vhd & .vho &
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:6022
    • 提供者:Jayesh
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 20 »
搜珍网 www.dssz.com