CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 -

搜索资源列表

  1. jtag0

    0下载:
  2. 本程序使用vhdl编写的jtag接口实现程序,其中有些功能未能实现,希望有人能够帮忙完善!-vhdl the procedures used to prepare the jtag interface procedures, which some of them did not materialize, hope someone can help perfect!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:86225
    • 提供者:马斌
  1. codestream

    0下载:
  2. 设计一个模块,从一个窜行数据流里检测出码流“11100”,这个模块包括reset,clk,datain及输出端pmatch-design a module from a trip data flow channeling Lane detected bitstream "11100", this module includes reset, clk, datain and output pmatch
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8886
    • 提供者:许嘉璐
  1. pcm

    0下载:
  2. 该程序设计了一个产生PCM码流时序信号的模块,他包括输入端CLK,SET及输出端Q1,Q2,Q3-the program have designed a PCM signal timing modules, including the CLK input, and output SET Q1, Q2 and Q3
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8131
    • 提供者:许嘉璐
  1. Evita_Verilog

    0下载:
  2. Verilog 的非常好用易懂的教学软件。-Verilog very handy and easy to teaching software.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3773708
    • 提供者:王虎林
  1. Evita_VHDL

    0下载:
  2. VHDL 的非常好用易懂的教学软件。大家试试看。-VHDL very handy and easy to teaching software. We try.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3003409
    • 提供者:王虎林
  1. wom_kg

    0下载:
  2. 系统时钟的VHDL电路,适合有一定经验的编程人员,希望能对你们有帮助。-VHDL system clock circuit suitable for a certain programming experience, you want to help.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:24267
    • 提供者:ghjghj
  1. 16_risc_cpu

    0下载:
  2. 一个支持精简指令的16位的risc cpu,可综合-a directive to support the streamlining of the 16 RISC CPU can be integrated
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:164014
    • 提供者:
  1. cordic_beh

    0下载:
  2. 这是实现cordic算法的一些源程序,各文件的说明可以参见文件内部注释。 -This is the algorithm Coordinate rotation digital source, the documents of the internal documents can be found in the Notes.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:29296
    • 提供者:喻小星
  1. fulladd

    0下载:
  2. 用于实现两个数相加的vhdl代码,在相应的编译器中使用-used to achieve the two summed VHDL code, the corresponding use of compiler
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:18440
    • 提供者:计量
  1. Exp6-VGA

    0下载:
  2. 通过UART从PC主机读取图片数据,并完成图片在VGA显示器上的显示-through UART from the host PC to read image data, and complete picture of the VGA display on the show
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:682430
    • 提供者:萧飒
  1. 66vhdl_src

    0下载:
  2. 66个vhdl的常用源代码,包括有双向口、状态机等,自解压后看vhdl_example.html列表说明.exe-66 vhdl common source code, including the two-mouth state machine, Since unpacked see vhdl_example.html tabulated. exe
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:98148
    • 提供者:刘丙周
  1. ModelSim6c_SE_Cracker

    0下载:
  2. crack for ModelSim, a Verilog, VHDL and mixed VHDL / Verilog CAD simulator for FPGA, board and IC design.-crack for ModelSim, a Verilog. VHDL and mixed VHDL / Verilog simulator for CAD F PGA, board and IC design.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:292684
    • 提供者:陈亨利
  1. count60_dec_bcd_led

    0下载:
  2. 是我们在在实验室做的摸60计数,并用LED显示出来。-is done in the laboratory in the loss of 60 counts, and LED show.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:320974
    • 提供者:qqq
  1. WinFilter08

    0下载:
  2. WinFilter is a software tool provided as freeware to design digital filter.-WinFilter is a software tool provided as fr eeware to design digital filter.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:186862
    • 提供者:山姆大叔
  1. sourceIIR6

    0下载:
  2. IIR 六阶数字滤波器的 VHD L 描述-six-IIR Digital Filter Volume L Descr iption
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:767987
    • 提供者:songbo
  1. E016_X-HDL3.2.52

    2下载:
  2. VHDL和Verilog代码互转工具,对EDA工程人员会有很大的帮助.-VHDL and Verilog code referrals tools, EDA staff to be very helpful.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3963588
    • 提供者:张华
  1. xst3_video

    0下载:
  2. 基于XILINX的XC3系列FPGA的VGA控制器的VHDL源程序。-based on the XC3 XILINX FPGA series VGA controller VHDL source.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:163637
    • 提供者:xuphone
  1. vhdltoverilog

    0下载:
  2. vhdl to verilog语言的编程设计,很有参考价值。-vhdl to verilog programming language design, great reference value.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:165777
    • 提供者:白石
  1. quartusII7.1crack

    0下载:
  2. quartus_II_7.1的license破解工具,很好用
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:872049
    • 提供者:li
  1. DspBuilder6.0_License

    0下载:
  2. DspBulider6.0的license破解
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:466964
    • 提供者:li
« 12 3 4 5 6 7 8 9 10 ... 32 »
搜珍网 www.dssz.com