CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VGA彩条

搜索资源列表

  1. vga

    0下载:
  2. 一个VHDL产生的VGA彩条信号程序,希望可以对你有帮助!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:193711
    • 提供者:白杨
  1. 数字电子电路-VGA图像显示控制器

    0下载:
  2. 设计一个VGA图像显示控制器,使其实现以下功能---- 1. 显示模式为640╳480╳60Hz。 2. 用拨码开关控制R,G,B(每个2位),使显示器可以显示64种纯色。 3. 在显示器上显示横向彩条信号(至少六种颜色)。 4. 在显示器上显示纵向彩条信号(至少八种颜色)。 5. 在显示器上显示自行设定的图形,图像等。 6. 选做,自拟其他功能。 所利用到的元器件有: 电脑,显示器,vga接口转换模块, 数字电子电路实验开发板,30Mhz晶振,下载线,电源等
  3. 所属分类:VHDL编程

  1. VGA.rar

    1下载:
  2. VGA彩色信号控制器设计:用VHDL语言编写程序,重点完成三个功能: 1.棋盘格图案显示: 用三基色原理在CRT显示器上显示由横竖八彩条重叠构成的棋盘格图案; 2.在显示器上依次显示0~9十个数字: 每个数字不同颜色,每个显示大约0.4秒,循环显示; 3.显示动画效果: 将静态图像以高频率显示,造成动画效果,最终动态显示OVER结束。,VGA color signal controller design: using VHDL programming language, focusi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:185932
    • 提供者:刘峰
  1. VGADIY

    0下载:
  2. 自己编的VGA彩条信号发生器verilog ise环境-Own the VGA color signal generator verilog ise Environment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:417292
    • 提供者:mcuxxq
  1. vga

    0下载:
  2. 应用FPGA实现VGA彩条功能,自己写的,只实现了彩条,还在探索中-Application of FPGA to achieve color VGA function, wrote it myself, only to achieve the color, is also exploring in
  3. 所属分类:Graph program

    • 发布日期:2017-04-01
    • 文件大小:220489
    • 提供者:利于呀
  1. VGA

    0下载:
  2. 该项目在VGA显示器上显示8色竖彩条,使用的是verilog HDL语言编写,言简意赅,一目了然-VGA display of the item shown in the 8-color vertical color
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:15420
    • 提供者:Doolittle
  1. vga_rgb

    0下载:
  2. 基于FPGA的实验。编写程序实现VGA彩条显示。像素800x600,刷新频率75Hz,实现8位色的彩条显示-FPGA-based experiment. Programming to achieve color VGA display. Pixel 800x600, refresh rate 75Hz, to achieve 8-bit color display color
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:637
    • 提供者:贺欧
  1. vga

    0下载:
  2. vga彩条显示 适合初学者 可以控制的横,竖彩条-vga color display suitable for beginners Article
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:2044
    • 提供者:王斌
  1. vga

    0下载:
  2. 电视机彩条发生器,有文字,图案等多种选择模式-TV Color Bar Generator, with text, patterns and other selection mode
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:7185
    • 提供者:ryoheihan
  1. vga5

    0下载:
  2. VGA彩条发生器设计,可实现8条横、竖彩条和多彩棋盘格的显示。经过硬件测试,-VGA Color Bar Generator designed to achieve the eight horizontal, vertical color bars and colorful checkerboard display. After the hardware test,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:280754
    • 提供者:wdw
  1. VGA

    0下载:
  2. 用VHDL实现的VGA彩条,在spantan3e上验证-VHDL implementation with VGA color bars, to validate the spantan3e
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:156976
    • 提供者:zhaocheng
  1. VGA

    0下载:
  2. VGA彩条信号发生器的设计。用到了RGB三基色来组成八种颜色构成彩条信号。-VGA color bar signal generator design. Use of the RGB three primary colors to form the eight kinds of colors of color bar signal.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:407414
    • 提供者:huangdeyi
  1. VGA

    0下载:
  2. FPGA嵌入式开发的源代码,本实例是实现VGA彩条信号处理-the source file based on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:324320
    • 提供者:ganzhhua
  1. vga

    1下载:
  2. Verilog语言编写,能实现在VGA上显示闪烁的俄罗斯方块,横竖彩条和棋盘格-Verilog language, to achieve the VGA display flashes of the Russian box, anyway, and checkerboard patterns of color
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:1647
    • 提供者:秦玉龙
  1. VGA

    0下载:
  2. VGA彩条发生器的实现 VHDL语言实现-VGA color bar generator, VHDL language to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:2145
    • 提供者:Ivan_s
  1. VGA

    0下载:
  2. 基于FPGA的VGA彩条信号程序。可以在硬件仿真板上直接运行。-OFDM program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:81517
    • 提供者:上官亦儿
  1. 1112 VGA及乐曲演奏

    1下载:
  2. VGA彩条信号显示控制电路设计 VGA彩条信号显示控制电路设计(gdshajghjsdfsgfdghfdhfd)
  3. 所属分类:汇编语言

    • 发布日期:2018-01-05
    • 文件大小:3984384
    • 提供者:叶玉
  1. vga

    0下载:
  2. 简单VGA显示彩条,有简单的横条和棋盘格,适用于新手(A simple VGA display of color, a simple bar and a chessboard, suitable for beginners)
  3. 所属分类:图片显示

    • 发布日期:2018-01-06
    • 文件大小:1024
    • 提供者:毅321
  1. VGA

    0下载:
  2. VGA彩条信号显示控制电路设计,能通过vga显示横条纹竖条纹棋盘条纹(VGA color signal display control circuit design)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:1640448
    • 提供者:苏小陨
  1. vgacode

    0下载:
  2. VGA彩条信号发生器,用于基本的测试 参考一下(VGA color signal generator)
  3. 所属分类:其他

    • 发布日期:2018-05-01
    • 文件大小:351232
    • 提供者:woshiwangjie
« 12 3 4 5 6 7 »
搜珍网 www.dssz.com