CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 七段 数码管

搜索资源列表

  1. da

    0下载:
  2. 实现数码管的显示,能够精确实现七段数码管的数据显示,精确高效。-The realization of digital tube display, can accurately realize seven digital tube display data, accurate and efficient.
  3. 所属分类:Multimedia program

    • 发布日期:2017-04-13
    • 文件大小:2393
    • 提供者:terkrAcco
  1. Seg_Dis---3

    0下载:
  2. 三种对FPGA共阴七段数码管显示的动态扫描、静态扫描程序,用按键从1加到-Three of dynamic scanning FPGA digital display, static scanning procedures, using the keys an added 888888
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4839806
    • 提供者:李勇
  1. digital_clock

    0下载:
  2. 本实验设计一个能够显示时、分、秒的数字时钟,时间在七段数码管上显示,显示数字为十进制数。通过开发板上的按键调整数字时钟的时间,分别用四个按键来控制分、时的增减,对于分、时的调整只影响本位,不产生进位或借位。各按键及数码管的功能要求如表1 所示。需要特别说明,因为开发板数码管的显示位宽不够,因此,通过一个开关进行切换选择(如:开,显示时分;关,显示分秒)。-When this experiment to design a display hours, minutes, seconds, digit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2442
    • 提供者:刘旭
  1. interface--experiment1

    0下载:
  2. 利用汇编语言,进行的接口实验源代码,包括IO地址译码、简单并行接口、可编程并行接口8255方式、七段数码管显示、8255键盘显示控制-The use of assembly language source code interface experiment carried out, including the IO address decoding, simple parallel interface, programmable parallel interface mode 8255, sev
  3. 所属分类:assembly language

    • 发布日期:2017-04-28
    • 文件大小:186962
    • 提供者:张璐
  1. Keyboard_Test

    0下载:
  2. 键盘与串口驱动设计,编写程序完成键盘驱动,实现键盘扫描,及键值的显示,及七段数码管的显示-The keyboard with the serial port driver design, write a program to complete the keyboard driver, realize the keyboard scan, and, according to the key value of and seven segment digital tube display
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-24
    • 文件大小:115427
    • 提供者:孙亭
  1. qiangdaqi

    0下载:
  2. 设计一个具有8路抢答的抢答器,利用并行接口和开关键来实现,利用逻辑开关K0—K7代表抢答按钮,当某个逻辑开关闭合时,则此时在七段数码管上讲其号码现实出来-Design an 8-way Responder has a Responder, using a parallel interface and open the key to achieve, using the logical switch K0-K7 representatives answer button when a logica
  3. 所属分类:assembly language

    • 发布日期:2017-04-25
    • 文件大小:259599
    • 提供者:刘畅
  1. rad10

    1下载:
  2. 利用basys2实现十进制加减可逆计数器,拨码开关键SW1为自动可逆加减功能键,当SW1为HIGH时,计数器实现自动可逆模十加减计数功能,即4个七段数码管上几乎同步显示0—1—2—3—4—…9—8—7—…0—1…的模十自动可逆加减计数结果;当SW1为LOW时,计数器按拨码开关键SW0的选择分别执行加减计数功能。即当SW0为HIGH时,计数器实现模十加计数功能,即4个七段数码管上几乎同步显示0—1—2—3—4—…9——0—1…的模十加计数结果;当SW0为LOW时,计数器实现模十减计数功能,即4个七
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:194443
    • 提供者:小雨
  1. better-and-longger-v0.1

    0下载:
  2. dsp2812常规实验和原理图:1.读写flash和sram 2.FM25CL64(spi)和24LC64(i2c) 3.SCI通讯;4.spi控制七段数码管;5.mcbsp控制ADS1274和TLV56066.DSP自带ADC;7.pwm1、pwm2和T1PWM波形输出 8 ecap 9 GPIO-dsp2812 general experiments and Schematic diagram
  3. 所属分类:DSP program

    • 发布日期:2017-04-16
    • 文件大小:462564
    • 提供者:孙红卫
  1. 8wei7duanshumaguanxianshi

    0下载:
  2. FPGA开发实例 之 八位七段数码管动态显示电路的设计.-The FPGA development instance of eight seven segment digital tube dynamic display circuit design.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1142756
    • 提供者:pld
  1. shumaguan

    0下载:
  2. 七段数码管的显示 掌握数码管显示数字的原理。-Seven segment digital tube To master the principle of digital tube display digital
  3. 所属分类:assembly language

    • 发布日期:2017-04-26
    • 文件大小:45423
    • 提供者:hanlisa
  1. juzhenjianpan

    1下载:
  2. 基于stm32的矩阵键盘扫描,所对应的键值在共阴极七段数码管上显示-Stm32 based keyboard scan matrix, the corresponding key is displayed on the seven-segment LED common cathode
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-07
    • 文件大小:1463003
    • 提供者:lh
  1. 8255A

    0下载:
  2. 1、 设计一个电路,由8086通过8255A读取四个开关的状态,然后通过查表输出结果由LED七段数码管显示相应的数字。例如:当四个开关全部断开,读取的数据为1111,则数码管显示F。画出电路图、按要求编写程序,在仿真环境下调试通过。-a circuit design 8086 through 8255A Reads four switch status, and then display the corresponding number the LED seven-segment LED o
  3. 所属分类:assembly language

    • 发布日期:2017-04-24
    • 文件大小:86504
    • 提供者:艾小麦
  1. shumaguan

    0下载:
  2. 七段数码管驱动,在DE2开发板上通过拨动开关输入数字,在数码管中显示-Seven-segment LED driver, the DE2 board to enter numbers by toggle switch in the digital tube display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:286815
    • 提供者:李西平
  1. CPU

    0下载:
  2. 32位MIPS指令CPU,实现31条指令,并且附带LED,七段数码管,VGA,键盘,UART等外设接口-32 MIPS instruction CPU, a 31 instructions, and comes with LED, seven-segment LED, VGA, keyboard, UART peripheral interfaces
  3. 所属分类:Other systems

    • 发布日期:2017-04-25
    • 文件大小:43112
    • 提供者:Liu Ao
  1. plj.FPGA

    0下载:
  2. 本频率计基于CPLD/FPGA实现。 50MHZ标准频率为CPLD内部时钟信号,被测方波为信号发生器产生的方波信号,显示电路由TTL芯片及七段数码管组成的电路,自校正输出由CPLD输出已知频率的测试方波信号,可将其输入至测试端口,进行系统精度校正。 -The frequency meter based on CPLD/FPGA implementation. 50MHZ standard CPLD internal clock signal frequency, square-wave test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:9276227
    • 提供者:刘波
  1. msp430

    1下载:
  2. 模块训练: 1、 按钮加蜂鸣器控制 设计按钮电路控制蜂鸣器发出叫声,按一下,蜂鸣器响0.5S后停止,按第二下,蜂鸣器响0.5S后停止0.5S,再响0.5S后停止,按第三下,蜂鸣器响1S后停止。循环操作。 2、 七段数码管控制 对四位七段数码管进行控制,两位显示分钟,两位显示秒钟,秒钟为60进制,分钟为60进制,计时标准。 3、 4×4小键盘的使用 制作4×4小键盘的使用电路,并能判断不同的按键信号,并用七段数码管显示。 4、 一体化接收头控制 能
  3. 所属分类:SCM

    • 发布日期:2017-06-02
    • 文件大小:14459633
    • 提供者:周棚
  1. T_lock

    0下载:
  2. 使用七段数码管显示的四位十进制密码锁,可以重置密码-Use the seven-segment LED display of four decimal locks, you can reset the password
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:1549
    • 提供者:lps
  1. qiduanxianshi

    0下载:
  2. Verilog代码段,包括七段数码管显示电路,调试通过的代码哦,很实用-Verilog code segments, including the seven-segment LED display circuit, code debugging through, oh, very practical
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:581
    • 提供者:张大江
  1. vhdl---calculator

    0下载:
  2. 基于vhdl语言编写的简易计算器程序,其中主要功能有加减乘和清除,确定等,可实习现连续运算。输出使用七段数码管输出,输入采用拨码开关的方式输入。若计算结果超过99999,蜂鸣器自动报警。-Vhdl language based on simple calculator program, where the main function, subtraction, multiplication and clear, determined, can now practice continuous op
  3. 所属分类:Other systems

    • 发布日期:2017-05-09
    • 文件大小:1817518
    • 提供者:张圆
  1. seg7(EP1C6Q240C8)

    0下载:
  2. 七段数码管显示,黑金开发板EP4CE15F17C8。-Seven-segment LED display
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-14
    • 文件大小:3256533
    • 提供者:李李
« 1 2 ... 16 17 18 19 20 2122 23 24 25 26 27 »
搜珍网 www.dssz.com