CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 三角波

搜索资源列表

  1. pwm

    0下载:
  2. 通过分频产生信号,与三角波比较产生pwm-By dividing the clock signal is generated, compared with the triangular wave generated pwm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1192996
    • 提供者:董会云
  1. sjbo

    0下载:
  2. 利用DAC,通过分频等程序,利用VHDL语言编写的三角波,-By DAC, frequency and other procedures through the use of VHDL language in the triangle wave,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:165408
    • 提供者:董会云
  1. c51

    0下载:
  2. 基于51单片机的正弦波发生器代码,可选择三角波与正弦波-51 microcontroller based sine wave generator code, choose triangle wave and sine wave
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:7760
    • 提供者:九帅
  1. waveformsfasheng

    0下载:
  2. 单片机构成的发生器可产生锯齿波、三角波、正弦波等多种波形,可通过按钮设定所需要的波形频率,并在LED上显示频率、幅值电压-SCM constitutes a generator can produce sawtooth, triangle, sine and other waveforms required by the button to set the waveform frequency, and the LED display frequency and amplitude voltag
  3. 所属分类:SCM

    • 发布日期:2017-04-25
    • 文件大小:9148
    • 提供者:张玉坤
  1. jianyishuzipinlvji

    0下载:
  2. (1)基本要求: a.被测信号的频率范围为1~20kHz,用4位数码管显示数据。 b.测量结果直接用十进制数值显示。 c.被测信号可以是正弦波、三角波、方波,幅值1~3V不等。 d.具有超量程警告(可以用LED灯显示,也可以用蜂鸣器报警)。 e.当测量脉冲信号时,能显示其占空比(精度误差不大于1 )。 (2)发挥部分 a.修改设计,实现自动切换量程。 b.构思方案,使整形时,以实现扩宽被测信号的幅值范围 -(1) Basic requirements: a.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:4487
    • 提供者:longking
  1. wave

    0下载:
  2. 一个基于89c51单片机的简单波形产生器的程序,能够输出方波,梯形波,三角波,锯齿波和正弦波的波形,并可以按钮切换波形!-A 89c51 microcontroller based on the simple waveform generator procedure, can output a square wave, trapezoidal wave, triangle wave, sawtooth and sine waveforms, and waveform switching for
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:1032
    • 提供者:waterfish88
  1. bofsq-(1)

    0下载:
  2. 波形发生器的设计 利用C51编程,可实现正弦波 方波 三角波 梯形波 锯齿波 C语言程序 简单易懂-Waveform Generator using C51 programming, can be realized sine wave square wave triangle wave trapezoidal sawtooth C language program easy to understand
  3. 所属分类:SCM

    • 发布日期:2017-04-23
    • 文件大小:180274
    • 提供者:haobt
  1. bb

    0下载:
  2. 波形发生器 可以对波形占空比 频率进行调节 用C边写 简单易懂 三角波 方波 正弦波 三种波形-Waveform generator can adjust the frequency of the waveform duty cycle with C and writing easy to understand the three triangular sine wave square wave
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:79614
    • 提供者:haobt
  1. bx

    0下载:
  2. 用C51单片机进行编程,可实现4中波形的产生。方波 三角波 正弦波 锯齿波 欢迎下载 C编程 有注释-With a C51 microcontroller programming, the waveform can be realized in the production of 4. Sawtooth sine wave square wave triangle wave are welcome to download C Programming Notes
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:111122
    • 提供者:haobt
  1. test

    0下载:
  2. 利用verilog 寫 三角波的產生 利用verilog 寫 三角波的產生-Written using the triangular wave generated verilog verilog to write using the triangular wave generated by the triangular wave generation write verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:22194
    • 提供者:zih siang
  1. tri

    0下载:
  2. 频率可调的三角波函数信号发生器,编译通过,仿真通过-Adjustable frequency signal generator triangle wave function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4423213
    • 提供者:
  1. dds3

    0下载:
  2. 可产生三角波,正弦波,方波并且频率可调节的函数信号发生器-Can generate triangular wave sine wave square wave and the frequency can be adjusted to function signal generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1859467
    • 提供者:
  1. digital-frequency-meter

    0下载:
  2. 数字频率计的设计,1.频率测量范围:1Hz—9999Hz。 2.数字显示位数:4位数字显示。3.被测信号幅度Ui=0.5—5V(正弦波、三角波、方波)。4.测量时间:t≤1.5S-The design of digital frequency meter, 1. Frequency Range: 1Hz-9999Hz. 2. Digital Display digits: 4-digit display. 3. The measured signal amplitude Ui = 0.5-5
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:51520
    • 提供者:翟明
  1. FPGA-based-function-generator

    0下载:
  2. 本论文设计的任意波形发生器所要实现的基本功能: (1)输出波形的种类:正弦波、方波、三角波、锯齿波、脉冲波、手绘任意波形、任意公式波形。 (2)输出波形每一通道的频率、幅值、偏置都可以由用户调节,并且可以设置多个通道信号之间的相位差。 (3)编辑波形的方式有:设置参数、输入公式、手工绘制通信波特率的全部功能在PC机上实现。 -In this thesis, the arbitrary waveform generator to achieve the basic function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:589995
    • 提供者:loutao
  1. Waveform-Generator-Based-on-AT89S52

    0下载:
  2. 该设计使用的是AT89S52单片机构成的波形发生器,AT89S52是一个低功耗,高性能CMOS 8位单片机,片内含4k Bytes ISP(In-system programmable)的可反复擦写1000次的Flash只读程序存储器,器件采用ATMEL公司的高密度、非易失性存储技术制造,兼容标准MCS-51指令系统及80C51引脚结构,芯片内集成了通用8位中央处理器和ISP Flash存储单元,功能强大的微型计算机的AT89S52可为许多嵌入式控制应用系统提供高性价比的解决方案。所以利用其产生
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:435302
    • 提供者:gaojiwei
  1. dds

    0下载:
  2. 本程序主要用于DDS算法的验证,可以生成正弦波,占空比可调的方波,三角波;其中频率控制字可调,生成波形的频率也可调。-This procedure is mainly used for verification DDS algorithm, can generate sine wave with adjustable duty cycle square wave, triangle wave including adjustable frequency control word to gene
  3. 所属分类:matlab

    • 发布日期:2017-04-07
    • 文件大小:601
    • 提供者:陈霞
  1. wave

    0下载:
  2. C51单片机实现的正弦波,三角波发生器,C语言编写,KEIL4编译-C51 Microcontroller sine wave, triangle wave generator, C language, KEIL4 compile
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:33898
    • 提供者:slk
  1. shuzixinhao

    0下载:
  2. 数字信号发生器,通过按键产生正弦波、方波和三角波并调频-Digital signal generator
  3. 所属分类:assembly language

    • 发布日期:2017-04-14
    • 文件大小:2707
    • 提供者:童欣
  1. signalgen

    0下载:
  2. 可以产生三角波,方波,调频信号等,大家交流-Can generate triangle wave, square wave, FM signals, to share
  3. 所属分类:matlab

    • 发布日期:2017-04-13
    • 文件大小:2355
    • 提供者:金黄平
  1. dds

    0下载:
  2. 基于vhdl的dds信号发生器,可产生方波,三角波,正弦波,幅度,频率,相位可调-The signal generator based on VHDL DDS, can produce square wave, triangle wave, sine wave, amplitude, frequency, phase can be adjusted
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1627883
    • 提供者:
« 1 2 ... 22 23 24 25 26 2728 29 30 31 32 ... 50 »
搜珍网 www.dssz.com