CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 三角波

搜索资源列表

  1. signalgen

    0下载:
  2. 可调信号发生器,用于产生正弦波,方波,三角波和锯齿波-Adjustable signal generator used to generate sine wave, square wave, triangle wave and sawtooth
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2332
    • 提供者:万里
  1. tri_generator

    0下载:
  2. c语言编写的基于51单片机的三角波发生器,内涵原码和proteus仿真电路图-triangular wave generator 51 microcontroller-based, C language, the connotation of the original code and proteus simulation circuit
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:66922
    • 提供者:wjc
  1. dds

    0下载:
  2. 自己写的dds工程文件,用的VHDl,三角波,方波。正玄波-Dds engineering documents, write your own used VHDl, triangle wave, square wave. Positive sine wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1202889
    • 提供者:chen
  1. xiaochengxu

    0下载:
  2. 延时的小程序,可根据晶振不同,改变延时的时间。包括三角波显示程序及单片机初始化的注意事项。-time delay
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-14
    • 文件大小:3806
    • 提供者:小户
  1. 51

    0下载:
  2. 正弦波发生器,能生成正弦波,三角波,矩形波的doc文件。-The sine wave generator, can generate sine wave, triangle wave, square wave doc files.
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:80254
    • 提供者:沉寂
  1. spwm

    0下载:
  2. spwm波形产生,三角波产生和矩形波产生,基于PIC单片机的C语言编程-spwm waveform generator, the triangular wave generator and produce rectangular wave C programming language based on PIC microcontroller
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:95637
    • 提供者:蒋斌
  1. 6-

    0下载:
  2. 单片机汇编程序讲解,da转换,三角波,锯齿波,方波解析-Microcontroller assembler explain da conversion, triangle wave, sawtooth, square wave resolution
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-06
    • 文件大小:1396151
    • 提供者:adrian
  1. ADC

    0下载:
  2. DAC数模转换是单片机学习过程中的实验之一,内容包括输出锯齿波,矩形波,三角波的源代码,以及实验指导书,可以轻松看懂并运用!-DAC digital to analog conversion is one of the experiments in the SCM learning process, including the output sawtooth wave, square wave, triangle wave source, and experimental instruction
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:58140
    • 提供者:
  1. pp01044r

    0下载:
  2. proteus+keil8051+max517具体实现现矩形波,三角波,正弦波的输出。,已通过测试。 -proteus+keil8051+max517 specific realization is now square wave, triangle wave, sine wave output. , Has been tested.
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:356792
    • 提供者:压榨
  1. EDAshuzipinlvji

    0下载:
  2. 1)能够测量正弦波、三角波、锯齿波、矩形波等周期性信号的频率; 2)能直接用十进制数字显示测得的频率; 3)频率测量范围:1HZ~10KHZ切量程能自动切换; 4)输入信号幅度范围为0.5~5V,要求一起自动适应; 5)测量时间:T〈=1.5S;6)用CPLD/FPGA可编程逻辑器件实现 -1) capable of measuring the frequency of the sine wave, triangle wave, sawtooth wave, rectangular wave p
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:882527
    • 提供者:安德森
  1. PCF8591

    0下载:
  2. 基于PCF8591的DA转换,用于信号发生。方波、正弦波、三角波-PCF8591-based DA converter for signal generation. Square wave, sine, triangle, etc.
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:41804
    • 提供者:
  1. Untitledjiwangfei0905094221

    0下载:
  2. 1、分别生成两种信号(正弦波、方波、三角波、锯齿波、Sinc波形等)+噪声(高斯随机噪声、均匀噪声) 要求:频率:学号*100; 幅度:学号/10 相位:学号*pi/180 点数:学号*64 2、同时在一个图形中进行显示: 要求:标注X轴、Y轴、题目、颜色、样式、线型标注等。 3、进行信号分析及结果显示(对结果也要图形标注,同2) 要求:相关分析 卷积运算 求和运算 频谱分析(最大值、测频) 4、对上述原信号及信号分析结果分别存成E
  3. 所属分类:matlab

    • 发布日期:2017-04-11
    • 文件大小:1495
    • 提供者:吉王飞
  1. 123

    0下载:
  2. 简易波形转换器,方波 正弦波 三角波 锯齿波 和功率恒定 频率可调-Simple waveform converter, triangle wave, square wave sine wave, sawtooth wave and power adjustable constant frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1653
    • 提供者:杨和俊
  1. IAR

    0下载:
  2. 基于mega16的波形发生器设计,方波,三角波,正弦。-Based on mega16 waveform generator design, square, triangle wave, sine.
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:1547
    • 提供者:任栋
  1. DAC0832-(2)

    0下载:
  2. 基于AT89C51的DAC0832产生的三角波形。可控的三角波输出。-Triangular waveform generated based on AT89C51 DAC0832. Controlled triangle wave output.
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:184400
    • 提供者:常志刚
  1. lcd_triangle

    0下载:
  2. LCD液晶屏显示。FPGA和CPLD都能用。显示一个三角波。-LCD display. FPGA and CPLD can be used. Display a triangular wave.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-08
    • 文件大小:1292288
    • 提供者:ct
  1. duoboxingfashengqi

    0下载:
  2. 多波形发生器,按键嗯下最左边的从上之下的按键,分别产生锯齿波,三角波,方波,正弦波。-Multi-waveform generator, key ah under the left-most buttons on the under, respectively, to produce sawtooth, triangle wave, square wave, sine wave.
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:253984
    • 提供者:常志刚
  1. tri_generator

    0下载:
  2. 自己做的一个三角波发生器,通过改变周期可以自定义频率-a triangle-wave generator
  3. 所属分类:matlab

    • 发布日期:2017-04-16
    • 文件大小:11370
    • 提供者:zp
  1. SIM

    0下载:
  2. DAC0832波形发生,三角波,梯形波,方波-DAC0832 waveform, triangular wave, trapezoidal wave, square wave
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:1610
    • 提供者:321564
  1. counter

    0下载:
  2. 一個三角波產生器 適用於PWM上的使用-A triangular wave generator is suitable for PWM use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:616
    • 提供者:TOM KJ
« 1 2 ... 30 31 32 33 34 3536 37 38 39 40 ... 50 »
搜珍网 www.dssz.com