CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 交通模拟

搜索资源列表

  1. moniboxing

    0下载:
  2. 采用MFC模拟发出波形,是用于智能交通的一个软件,可以模拟不同的车经过秤台发出不同的波形-MFC issued using analog waveform is a software for intelligent transportation, you can simulate different vehicle after weighing platform make different waveforms
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-22
    • 文件大小:6313936
    • 提供者:杨永武
  1. state

    6下载:
  2. 用java实现的设计模式小程序,应用了状态模式、单例模式、抽象工厂模式,模拟的是简单的红绿交通信号灯系统。绿灯10秒,黄灯3秒,红灯10秒,三种状态之间相互循环切换。-Use java to implement a little program,it use state module,single module and abstract factory module,it imitate the traffic signal light.
  3. 所属分类:其他小程序

    • 发布日期:2017-06-03
    • 文件大小:9953
    • 提供者:zhaowei
  1. yuandaima

    0下载:
  2. 模拟仿真交通流,并分析交通的特性如车流密度,车流量,平均速度等-Simulation of traffic flow, and analysis the traffic features such as density, flow, average speed, etc
  3. 所属分类:Button control

    • 发布日期:2017-05-04
    • 文件大小:11374
    • 提供者:黄文鹏
  1. CountDown

    0下载:
  2. 模拟十字路口的交通灯的运行,当有优先的车辆到来时,让其先通过。-The operation of the simulation of the intersection traffic lights, when vehicles have priority comes, let it pass.
  3. 所属分类:Other systems

    • 发布日期:2017-05-04
    • 文件大小:6830
    • 提供者:风搁浅
  1. jiaotongdeng

    0下载:
  2. VHDL语言实现模拟交通灯的运行,55s红,5s黄,30s绿灯切换,用led显示,同时在数码管上显示倒计时。内含详细说明以及仿真图-use VHDL language, traffic light and display time on segment-led
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1585429
    • 提供者:maxiaobo
  1. traffic-light

    0下载:
  2. 在89C51上面模拟交通系统的交通灯,程序经过测试-In the above simulation 89C51 transportation system of traffic lights, the program has been tested
  3. 所属分类:SCM

    • 发布日期:2017-05-04
    • 文件大小:26786
    • 提供者:王一
  1. tiaffic

    0下载:
  2. 基于matlab十字路口交通流模拟仿真,多种集合-matlab simulation
  3. 所属分类:matlab

    • 发布日期:2017-05-05
    • 文件大小:305299
    • 提供者:钟嘉华
  1. traffic

    0下载:
  2. 交通的模拟程序,可以模拟车辆的位置,可以通过修改程序做新的问题-traffic mo ni
  3. 所属分类:transportation applications

    • 发布日期:2017-04-13
    • 文件大小:2387
    • 提供者:美女
  1. traffic

    0下载:
  2. 车辆位置的模拟程序,可以模拟汽车的交通情况,类似的问题可以修改-traffic mo ni
  3. 所属分类:transportation applications

    • 发布日期:2017-04-13
    • 文件大小:2049
    • 提供者:美女
  1. freewaysimulate

    2下载:
  2. 运用改进后的元胞传输模型对城市快速路入口匝道处的各交通流现象进行模拟仿真,对交通控制方法的研究有主要作用。-Cell transmission model for each use of the improved traffic flow phenomena at the urban freeway on-ramp will be simulation, study traffic control method has a major role.
  3. 所属分类:transportation applications

    • 发布日期:2017-05-04
    • 文件大小:5162
    • 提供者:刘鑫山
  1. C51_TRAFFIC

    0下载:
  2. 使用51单片机模拟的交通信号灯系统。内含代码和仿真文件。使用C语言编程。-Traffic signal lamp system using 51 single chip microcomputer,Containing code and simulation files written in C language programming.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-05
    • 文件大小:47209
    • 提供者:杜丽双
  1. jiaotongdeng

    0下载:
  2. 多路交通灯 模拟实际交叉路口,实现红绿黄三灯准确变换-Multiple traffic lights
  3. 所属分类:Other systems

    • 发布日期:2017-05-04
    • 文件大小:37801
    • 提供者:吴缓缓
  1. DAIMA

    0下载:
  2. 利用ARM芯片模拟实现交通灯控制。自行选择所需ARM芯片,查阅相关文献资料,熟悉所选ARM芯片,了解所选ARM芯片各个引脚功能,工作方式,计数/定时,I/O口,中断等相关原理,通过软硬件设计实现利用ARM芯片完成交通灯的模拟控制。-Using ARM chip simulation to achieve traffic light control. To the desired ARM chip, access to relevant documents, familiar with the
  3. 所属分类:SCM

    • 发布日期:2017-05-04
    • 文件大小:13286
    • 提供者:huang yun
  1. 1

    0下载:
  2. 汇编语言编写 proteus仿真模拟交通灯-Assembler proteus simtuation of traffic lights
  3. 所属分类:assembly language

    • 发布日期:2017-05-05
    • 文件大小:45144
    • 提供者:庞川
  1. jiaotongdeng

    0下载:
  2. 交通灯用单片机控制红黄绿三个LED用点阵显示时间模拟交通灯-Red, yellow, green three SCM control LED dot matrix display time was used to simulate the traffic lights
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:1595
    • 提供者:changshijun
  1. traffic_control1

    0下载:
  2. (1) 学习和掌握了解分频电路、通用同步计数器、异步计数器的使用方法; (2) 理解Moore和Mealy两种状态机的一般编程方法,能够按工程控制需求设计相应的逻辑和时序控制程序。 以开发板上的六盏LED小灯模拟,三盏小灯模拟一个方向的红黄绿交通灯灯,用VHDL语言编程实现红绿交通灯控制程序。 -(1) to learn and master the understanding of frequency division circuit, universal synchronous
  3. 所属分类:assembly language

    • 发布日期:2017-05-06
    • 文件大小:602074
    • 提供者:Cherry_RF
  1. FPGA-Traffic-Light-Controller

    0下载:
  2. (1) 学习和掌握了解分频电路、通用同步计数器、异步计数器的使用方法; (2) 理解Moore和Mealy两种状态机的一般编程方法,能够按工程控制需求设计相应的逻辑和时序控制程序。 以开发板上的六盏LED小灯模拟,三盏小灯模拟一个方向的红黄绿交通灯灯,用VHDL语言编程实现红绿交通灯控制程序。 -(1) to learn and master the understanding of frequency division circuit, universal synchronous
  3. 所属分类:assembly language

    • 发布日期:2017-05-05
    • 文件大小:64454
    • 提供者:Cherry_RF
  1. cellular-automata

    2下载:
  2. 可以模拟双车道换道,可以得到速度、换道数等微观交通流参数- it can simulate two-lane lane
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-05
    • 文件大小:4767
    • 提供者:管小西
  1. Traffic-lights

    0下载:
  2. 根据交通四叉路口实际情况设计的交通指示灯时间红灯绿灯黄灯亮,闪烁,灭灯的模拟-Traffic lights
  3. 所属分类:software engineering

    • 发布日期:2017-05-07
    • 文件大小:1102846
    • 提供者:敏哥
  1. model4

    0下载:
  2. 涉及交通流元胞机模拟三车道,两种车,可以换车道,数学建模用-The traffic current element Baoji emulates three lanes, two cars, can be change lane.
  3. 所属分类:CSharp

    • 发布日期:2017-04-13
    • 文件大小:1744
    • 提供者:xznlz
« 1 2 ... 28 29 30 31 32 3334 35 »
搜珍网 www.dssz.com