CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 交通灯

搜索资源列表

  1. tracficlight

    0下载:
  2. 这个是用汇编语言写的,交通灯控制程序,也实用
  3. 所属分类:汇编语言

    • 发布日期:2014-01-16
    • 文件大小:7132
    • 提供者:lee manjune
  1. c

    0下载:
  2. 单片机C语言学习好资料 前言 2 基础知识:单片机编程基础 2 第一节:单数码管按键显示 4 第二节:双数码管可调秒表 6 第三节:十字路口交通灯 6 第四节:数码管驱动 7 第五节:键盘驱动 8 第六节:低频频率计 14 第七节:电子表 17 第八节:串行口应用 17
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2014-01-16
    • 文件大小:53269
    • 提供者:华羿
  1. jiaotongdeng

    0下载:
  2. 交通灯课程设计报告 交通灯课程设计报告
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:16255
    • 提供者:李兆军
  1. JTD

    0下载:
  2. 在maxplusII平台上开发的一个交通等内核,该文件中有多个版本,为实现交通灯的不同功能,同时后续版本也是对前面版本的修改与优化,基于verilog HDL语言
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-16
    • 文件大小:1189926
    • 提供者:孙炜
  1. trrafficlight

    0下载:
  2. (1)当乡村公路无车时,始终保持乡村公路红灯亮,主干道绿灯亮。 (2)当乡村公路有车时,而主干道通车时间已经超过它的最短通车时间时,禁止主干道通行,让乡村公路通行。主干道最短通车时间为25s 。 (3)当乡村公路和主干道都有车时,按主干道通车25s,乡村公路通车16s交替进行。(4)不论主干道情况如何,乡村公路通车最长时间为16s。 (5)在每次由绿灯亮变成红灯亮的转换过程中间,要亮5s时间的黄灯作为过渡。 (6)用开关代替传感器作为检测车辆是否到来的信号。用红、绿、黄三种颜色的
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-16
    • 文件大小:2148
    • 提供者:Richard
  1. Lab0601-TrafficLight

    0下载:
  2. 基于DSP5509的实际路况交通灯的模拟 希望对DSP初学者有所帮助
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:9710
    • 提供者:唐方
  1. traffic_light_new

    0下载:
  2. 单片机制作带数码倒计时显示的交通灯,任意方向时间可改
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:2042
    • 提供者:何宣见
  1. my

    0下载:
  2. 本人课程设计交通灯+数码管动态显示+仿真
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:27827
    • 提供者:陈康
  1. szlj-jtd

    0下载:
  2. 数字电路 交通灯控制器 包含文档
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:332482
    • 提供者:王志成
  1. traffic

    0下载:
  2. 完整的VerilogHDL交通灯例程,已通过硬件仿真。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:34728
    • 提供者:xuping
  1. jiaotongdeng_mealy2

    0下载:
  2. 自编的交通灯程序,使用VHDL语言,使用状态机模式。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2131
    • 提供者:韩彬
  1. trafficLight

    0下载:
  2. 这是一个用汇编编写的,在8255平台上运行的一个交通灯程序。
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:813
    • 提供者:李长顺
  1. J_T_D

    0下载:
  2. 交通灯程序!带到计时 到报警 带按键切换的完整程序
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1427
    • 提供者:lvjunhao
  1. jiaotongdeng

    0下载:
  2. 要求: 1、 设计一个十字路口的交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为45秒。 2、 在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道; 3、 黄灯亮时,要求每秒闪亮一次。 甲、乙车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用倒计时的方法
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:81554
    • 提供者:hongbingjun
  1. 单片机多功能数字钟系统论文

    0下载:
  2. 单片机原理与接口技术\\第十单片机原理与接口技术\\第十章交通灯全章交通灯全
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2014-01-17
    • 文件大小:53052
    • 提供者:阿松
  1. TrafficLight

    0下载:
  2. 用vhdl语言实现交通灯控制的设计 这是学习VHDL语言的经典例子
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4151
    • 提供者:郭海东
  1. jtd

    0下载:
  2. 十字路口交通灯课程设计报告 本设计主要是利用PLC进行编程,运用了所学过的基本指令和简单的典型电路,包括了各种方向的定时电路、闪烁电路、通车控制电路、报警电路、延时熄灭电路等基本模块,实现了交通灯控制的模拟,并在发生故障的时候关灭系统并出现报警信号,具有一定的实际价值
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:167200
    • 提供者:kos
  1. ex5

    0下载:
  2. 用于接口实验中的交通灯控制程序,具体指红绿灯的控制
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:824
    • 提供者:za
  1. traffic

    0下载:
  2. 控制端和受控端,受控端实现交通灯功能,控制端控制受控端
  3. 所属分类:TCP/IP协议栈

    • 发布日期:2008-10-13
    • 文件大小:881119
    • 提供者:胡蕊
  1. cross_lights

    0下载:
  2. 本设计中选用目前应用较广泛的VHDL硬件电路描述语言,实现对路口交通灯系统的控制器的硬件电路描述,通过编译、仿真,并下载到CPLD器件上进行编程制作,实现交通灯系统的控制过程。EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能而不需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,受到了电子工程师的青睐。实现路口交通灯系统的控制方法很多,可以用标准逻辑器件、可编程序控制器PLC、单片机等方案来实现。但是这些控制方
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:4451
    • 提供者:dcy
« 1 2 ... 26 27 28 29 30 3132 33 34 35 36 ... 50 »
搜珍网 www.dssz.com