CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 交通灯

搜索资源列表

  1. trafficwsdklk

    0下载:
  2. 1.设计目的 (1)设计交通灯控制器; (2)学习状态机的设计方法; (3)学习原理图、状态机等多种的设计方法进行混合设计; (4)熟练掌握器件设计输入、编译、仿真和编程的过程。 2.设计内容 位于十字路口的交通灯,在A方向和B方向各有红、黄、绿三盏灯,按所列顺序进行循环,交通灯循环顺序见表1所示。其中1表示灯亮,0表示灯灭。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:132023
    • 提供者:秦光
  1. trafficlight

    0下载:
  2. 系统以SPCE061A为核心,检测键盘模块和流量检测模块,根据检测结果按照程序设定的方式去控制红绿灯模块、倒计时数码管模块和放音模块。本系统共有4组双色LED指示灯,分别接交通灯模组的CS0、CS1、CS2、CS3脚,由61板的IOB的0、1、2、3脚供电,20盏灯的亮暗变化分成4种状态,每种状态亮5盏灯,由程序决定亮哪5盏;4个数码管的高位都接CS4脚,由61板的IOB的4脚供电, 低位都接CS5脚, 由61板的IOB的5脚供电,由键盘输入其倒计时间,数码管每秒钟变化一次;由61板内部的DAC
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:4595
    • 提供者:陈巍巍
  1. jiaotongdengkongzhi

    0下载:
  2. 本系统由单片机系统、键盘、LED 显示、交通灯演示系统组成。系统包括人行道、左转、右转、以及基本的交通灯的功能。系统除基本交通灯功能外,还具有倒计时、时间设置、紧急情况处理、分时段调整信号灯的点亮时间以及根据具体情况手动控制等功能
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:71023
    • 提供者:高峰
  1. 46

    0下载:
  2. 46交通灯.ASM,交通灯程序>> >> >.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1604
    • 提供者:zhouwenjin
  1. P3

    0下载:
  2. 本设计是用8255实现模拟交通灯的工作 同时以4*4点阵键盘控制其状态
  3. 所属分类:交通/航空行业

    • 发布日期:2008-10-13
    • 文件大小:1772
    • 提供者:muyunfeixu
  1. jiaotongdeng

    1下载:
  2. 这是基于ARM7的,在uCOS操作系统下的的交通灯
  3. 所属分类:uCOS开发

    • 发布日期:2008-10-13
    • 文件大小:1826835
    • 提供者:单光星
  1. lot-of-good-DSP-experimental-program

    0下载:
  2. 经典的DSP试验程序集合! 01指令实验 02存储器 03串行口 04同步串口 05步进电机 06硬件中断 07定时器 08交通灯 09直流电机 10滤波器 11正弦波发生器 12语音录放 13显示屏
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:65287
    • 提供者:江可乐
  1. huibianxiedesizeyunsuan

    0下载:
  2. 运行程序后实现可控交通灯的红绿黄三灯自动熄灭和点亮,
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:2281
    • 提供者:user
  1. traffic_light_verilog

    0下载:
  2. 控制东西南北交通灯,非常有用!可以实现!
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2014-01-17
    • 文件大小:42800
    • 提供者:刘彬
  1. CPLD

    0下载:
  2. 基于CPLD的交通灯系统设计,可以给大家参考一下哟
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2014-01-17
    • 文件大小:27468
    • 提供者:liu
  1. VerilogHDL_trafficlight

    0下载:
  2. 采用Verilog HDL语言编写的交通灯控制系统,这是一个完整的毕设课题,分别有分频、显示译码、倒计时和动态显示驱动模块,实用价值很高,
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-18
    • 文件大小:363159
    • 提供者:廖耿耿
  1. multiplier

    0下载:
  2. 交通灯程序《数字电路EDA入门-VHDL程序实例》---交通灯程序例子,,C-C++
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3801
    • 提供者:林章复
  1. programming

    0下载:
  2. 可调节的智能交通灯 程序,基于AT89S52 以往的交通灯都是很一般的程序 这个嘛,可以调节红绿灯的亮灭时间,可以显示调节的的参数 里面有很详尽的注释以及I/O口说明
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:19436
    • 提供者:andy
  1. JiaoTongDong

    0下载:
  2. 本程序设计需应用软件控制并运行接口电路,使连接在该接口上的红、绿、黄发光二极管按十字路口交通红、绿、黄灯形式闪烁,并显示绿灯的倒计时时间。 程序一开始,四个方向的灯全为红灯,接着变为黄灯闪烁,然后东西方向为红灯,南北方向为绿灯并显示倒计时时间,倒计时间结束后,交通灯变成黄灯,闪烁几次后,东西方向变成绿灯,南北变成红灯,再变成黄灯,如此按交通灯的变化规则交替变化。
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:99446
    • 提供者:zhang shun
  1. jiaotongdeng

    0下载:
  2. 这是用VHDL语言编译的交通灯程序,十分好用
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1229
    • 提供者:史乐
  1. report

    0下载:
  2. 本实验报告包含计数器、交通灯等微机接口实验的完整程序
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:109273
    • 提供者:xiaohui
  1. 3

    0下载:
  2. 交通灯多线程,编程。还包括简单的数据查询程序。
  3. 所属分类:JSP源码/Java

    • 发布日期:2008-10-13
    • 文件大小:618448
    • 提供者:巨云涛
  1. CNT20

    0下载:
  2. 倒记时电路 用在交通灯-hosts circuits used in traffic lights
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:837
    • 提供者:胡古月
  1. TraficLight_Ex

    1下载:
  2. java 编程,自己做的一个交通灯演示-java programming, have done a demo of traffic lights
  3. 所属分类:JSP源码/Java

    • 发布日期:2008-10-13
    • 文件大小:5053
    • 提供者:黄汉
  1. ccx

    1下载:
  2. 本人以前做的些东西,程序陆续上传,和大家一起分享。本人由于无线上网,慢,所 以就只能一个个上传了,谅解!(焦距电机,温度 投影 工业控制、打印机、电子音响 、步进电机、示波器、接口转换、双机、PC、USB通信、温度闭环控制、电机调速、点 阵显示屏、救护车、交通灯、语音录放、IC卡读写、液晶等等,还有些自己都不知道 是什么了,大家自己看看。)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:2782
    • 提供者:庄晓兵
« 1 2 ... 28 29 30 31 32 3334 35 36 37 38 ... 50 »
搜珍网 www.dssz.com