CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 交通灯 控制 设计

搜索资源列表

  1. jiaotongdeng11

    0下载:
  2. 交通灯设计方案 基于VHDL的红绿黄交通灯控制系统-Traffic light design Based on the VHDL red green and yellow traffic light control system
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:149330
    • 提供者:何雨
  1. trafficlight

    0下载:
  2. 基于VHDL的十字路口交通灯控制系统设计与实现,定时器模块由25S、5S、20S三个定时器组成,分别确定相应信号灯亮的时间。三个定时器采用以秒脉冲为时钟的计数器实现。eg、ey、er分别是三个定时器的工作使能信号,tm25、tm5、tm20是三个定时器的计数结束指示信号。 控制模块是对系统工作状态的转换进行控制,根据交通规则可得系统状态转换情况。ar、ay、ag br、by、bg分别表示由控制器输出的A道和B道的红、黄、绿信号灯亮的时间;eg、ey、er分别表示由控制器输出的控制25S、5S
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:185135
    • 提供者:蔡利波
  1. Traffic-control

    0下载:
  2. 本设计 的是一个交通灯控制系统,以单片机为核心,采用目前比较流行的AT89S51单片机,对十字路口交通灯电路进行了仿真制作,主要完成十字路口交通灯的红、绿、黄灯的点亮时间控制,并模拟了两道均有车辆要求通过时、一道有车辆要求通过时、以及在紧急情况下三种状态下交通灯的时间控制方式。在模拟系统中,采用了两组红、黄、绿三种发光二极管分别代表两道上的红绿灯,采用了数码管显示每种灯点亮的时间;并采用了三个按钮开关来模拟系统要求的三种状态。-The design of the design is a traf
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:1820
    • 提供者:形影
  1. AT89S52-traffic-lights

    1下载:
  2. 基于AT89S52单片机交通灯控制系统的设计-AT89S52 SCM based on the design of the control system of the traffic lights
  3. 所属分类:SCM

    • 发布日期:2014-10-21
    • 文件大小:9216
    • 提供者:guoyang
  1. jiaotongdeng

    0下载:
  2. 简易交通灯的设计,单片机为控制芯片,每隔时间段可以切换红、绿、黄灯来模拟现实交通灯的工作-Simple traffic light design, microcontroller control chip, every time you can switch the red, green, yellow to simulate realistic traffic lights
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-03
    • 文件大小:20506
    • 提供者:悠瑾
  1. traffic_control1

    0下载:
  2. 十字路口交通灯控制。包括数码管时间显示,LCD显示,蜂鸣器驱动,课程设计,已经通过测试。-Crossroads traffic lights control. Digital tube display, LCD display, buzzer driver, curriculum design, has been tested.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3400336
    • 提供者:ksing
  1. Traffic

    0下载:
  2. 交通灯控制系统 1.利用8253定时, 8255设计电路,实现十字路口交通灯模拟控制。 2.实现能自动控制和手动应急控制。(◆) 3.实现能随时可以调整自动模式的绿灯和红灯时间。(☆) -Traffic light control system 1. 8253 timer 8255 design circuits, analog control intersection traffic lights. Two. Implementation, the automatic con
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:25959
    • 提供者:damen
  1. EDA

    0下载:
  2. Quatus下用Verilog语言编写的双向交通灯控制系统,内含程序及波形图,注释详细,课程设计-Verilog language Quatus two-way traffic light control system, containing program and waveforms, detailed annotations, curriculum design
  3. 所属分类:transportation applications

    • 发布日期:2017-03-31
    • 文件大小:549044
    • 提供者:李雷
  1. trafficagain

    0下载:
  2. 此程序是以VHDL来设计真实世界交通灯控制系统。经过下载到FPGA中调试,证明其真实可用。为了方便调用,特地将程序分成两个部分,包括主函数和一个数码管显示子程序。-This program is based on VHDL to design real-world traffic light control system. Been downloaded to the FPGA debugging, to prove its real available. Order to facilitate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:615789
    • 提供者:万雨榕
  1. Chapter5

    0下载:
  2. Chapter5文件夹: (1)实验1:键盘扫描输入实验,完整的设计工程文件在JIANPAN文件夹下 (2)实验2:扫描数码显示器实验,完整的设计工程文件在SCANLED文件夹下 (3)实验3:点阵显示实验,完整的设计工程文件在DIANZHEN文件夹下 (4)实验4:交通灯控制实验,完整的设计工程文件在JTDKZHQ文件夹下 (5)实验5:数字钟实验,完整的设计工程文件在CLOCK文件夹下 (6)实验6:液晶显示实验,完整的设计工程文件在LCD文件夹下
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-03
    • 文件大小:13562553
    • 提供者:boyzone
  1. Traffic-lights

    0下载:
  2. 基于单片机的交通灯控制系统设计,可以简单的实现交通灯的控制-Based on the single chip design of traffic light control system
  3. 所属分类:SCM

    • 发布日期:2017-12-03
    • 文件大小:1364172
    • 提供者:谢甜甜
  1. fddff

    0下载:
  2. 根据STC10F04单片机的特点及交通灯在实际控制中的特点,本文提出一种用单片机自动控制交通灯及时间显示的方法。同时给出了软硬件设计方法,设计过程包括硬件电路设计和程序设计两大步骤,对在单片机应用中可能遇到的重要设计问题都有涉足。本文对十字路口状态预设为三种,一种是正常状态,一种是紧急状态,另一种是方程式状态。增设路段遇忙调整时方程式控制状态和紧急情况处理模块,通过手动控制开关按钮A0和A1方便系统在正常状态和紧急状态、方程式控制间来回切换,进一步完善了交通灯控制-According to th
  3. 所属分类:software engineering

    • 发布日期:2017-12-02
    • 文件大小:579711
    • 提供者:为谁疯
  1. jiaotongdeng

    0下载:
  2. 此程序是在keil51开发环境下通过单片机设计的一款十字路口的交通灯控制系统,可以实现现实交通灯的所有功能。-This program is a microcontroller design keil51 development environment crossroads traffic light control system, all functions can realize the reality of traffic lights.
  3. 所属分类:SCM

    • 发布日期:2017-11-28
    • 文件大小:11693
    • 提供者:李磊
  1. 8255

    0下载:
  2. 微机实验8255 1)用8个LED来反映8个开关量的状态,拨动开关,让对应的灯亮。 2)8255控制交通灯 本设计用LED来模拟交通灯。请考虑8255与LED的连接,以及如何用8255来控制LED的点亮与熄灭。 交通灯的控制过程: 东西向的绿灯、南北向的红灯亮5秒钟。 东西向的绿灯灭、南北向的红灯亮,在此时间段中东西向的黄灯闪烁5次,每次亮0.5秒灭0.5秒。 南北向的绿灯、东西向的红灯亮5秒钟。 南北向的绿灯灭、东西向的红灯亮,在此时间段中南北向的黄灯闪烁5次,
  3. 所属分类:assembly language

    • 发布日期:2017-11-26
    • 文件大小:47679
    • 提供者:庄剑文
  1. jiaotongdeng

    0下载:
  2. 这是一个关于交通灯的设计方案,借此能够实验红绿灯的控制-This is a design of traffic lights to be able to experiment the control of the traffic lights
  3. 所属分类:Project Design

    • 发布日期:2017-12-01
    • 文件大小:33221
    • 提供者:林子
  1. main

    0下载:
  2. 模拟交通灯的设计与应用 LED灯 东西南北控制走向-traffic light
  3. 所属分类:source in ebook

    • 发布日期:2017-11-29
    • 文件大小:687
    • 提供者:wei
  1. jiaotongdeng

    0下载:
  2. 交通灯(非主流简单写法版):设计一个十字路口的交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为25秒; 要求黄灯先亮5秒,才能变换运行车道;黄灯亮时,要求每秒钟闪亮一次 。-Traffic lights (non-mainstream the simple wording Edition): design an intersection traffic light control circuit, the alternating A the driveway a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-23
    • 文件大小:419140
    • 提供者:张小栗
  1. jiaotongdeng

    0下载:
  2. 以单片机为核心,设计一个简单交通灯控制系统,设A车道与B车道交叉组成十字路口,A是主道,B是支道。设计要求如下: (1) 用发光二极管模拟交通信号灯、两个方向分别设置红、绿、黄3种通行指示灯,用按键开关模拟车辆检测信号。 (2) 正常情况下,A、B两车道轮流放行, A车道放行40秒,其中5秒用于警告,B车道放行25秒,其中5秒用于警告。有计时牌显示路口通行转换剩余时间。 (3) 在交通繁忙时,交通信号灯控制系统应有手控开关,可人为地改变信号灯的状态,以缓解交通拥挤状况。在B车道放行期
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-19
    • 文件大小:2360
    • 提供者:张杰
  1. Traffic-light-experiment

    0下载:
  2. 学习在lpc2131上移植ucosII系统;通过软硬件设计实现利用ARM芯片完成交通灯控制功能-Learning to the lpc2131 on transplant ucosII system ARM chip to complete the traffic light control function through hardware and software design
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-08
    • 文件大小:53188
    • 提供者:谢文
  1. jiaotongdeng

    0下载:
  2. 利用单片机设计交通灯控制系统,能显示状态倒计时:红灯50秒,绿灯80秒,黄灯3秒,能利用本机键盘重新设置各个状态(相位)时间;-The use of single-chip design traffic light control system that can display the status Countdown: red 50 seconds, 80 seconds green, yellow 3 seconds and can use the keyboard to reset the
  3. 所属分类:SCM

    • 发布日期:2017-11-13
    • 文件大小:1795
    • 提供者:王凌
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 17 »
搜珍网 www.dssz.com