CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 仿真系统

搜索资源列表

  1. FLASH在系统编程和DSP并行引导的C语言实现

    0下载:
  2. 介绍了一种在DSP 仿真环境下,采用C 语言对FLA SH 进行在系统编程( ISP)的 方法,同时介绍了TM S320VC5402 的Boo t loader 原理,给出了DSP 的并行FLA SH 引导功能实现 方案,并且给出了一个简单的测试实例-introduced a DSP simulation environment, using the C language for the FLA SH-system programming (ISP), TM also introduced th
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:170103
    • 提供者:葛强
  1. 数字视频图像传输系统实现中几个问题的研究

    0下载:
  2. MATLAB程序的仿真比较。随后,针对QPsK,详细讨论了其基本原理和具体的实现算法,并进行了仿真验证,然后选用HSP502巧数字上变频芯片和HSP50214B数字下变频芯片,设计了数字调制、解调器的实现方案。最后,作为对整个数字视频图像传输系统的宏观认识,利用M户JLAB中的实时建模仿真Simullnk库对典型的视频图像传输系统一数字视频广播系统(D vB),进行了建模、仿真和初步的分析,结果表明:在高斯白噪声的传输环境下,系统采取的一系列抗干扰措施,包括内、外码级联的信道纠错编码和先进的调制
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:8598032
    • 提供者:lzy
  1. Matlab系统辨识

    0下载:
  2. 系统仿真和辨识,包括递推最小二乘法RLS和目前先进的辨识理论,适合于系统仿真用.-system simulation and identification, including RLS recursive least squares method and the current advanced identification theory is suitable for system simulation.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:18042
    • 提供者:董延军
  1. 抗积分饱和PID控制算法及仿真

    0下载:
  2. 防止系统产生超调……
  3. 所属分类:人工智能/神经网络/遗传算法

    • 发布日期:2008-12-11
    • 文件大小:738
    • 提供者:wuli9tracy
  1. 单载波传输系统(SC-FDMA)

    4下载:
  2. DFT-S-OFDM 仿真源程序 包括PAPR仿真
  3. 所属分类:书籍源码

    • 发布日期:2009-03-31
    • 文件大小:1933584
    • 提供者:wy8905@163.com
  1. 自动售货机VHDL程序与仿真

    0下载:
  2. library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买
  3. 所属分类:文档资料

  1. 语音通信系统simulink仿真图

    6下载:
  2. 语音通信系统simulink仿真图,读入wav数据文件,经过DPCM信源编码和BCH信道编码及BPSK调制,发射经过AGWN信道,解调,解码输出,可还原语音信号,可绘制误码率及SNR之间的关系。
  3. 所属分类:matlab例程

    • 发布日期:2009-07-22
    • 文件大小:7163
    • 提供者:wangqiang
  1. MATLAB语言和控制系统仿真

    9下载:
  2. 本书提供了使用MATLAB的实践性指导。本书按逻辑编排,自始至终用实例描述;内容完整且每章相对独立;是一本简明的MATLAB参考书,既适用于初学者,也适用于高级MATLAB用户。对MATLAB与FORTRAN、C等语言结合的描述更是所有MATLAB书籍中少有的一大特色。 本书适合作为理工科高等院校研究生、本科生教学用书,也可作为广大科研工程技术人员的自学用书。
  3. 所属分类:系统编程

  1. 仿真

    0下载:
  2. 系统 仿真
  3. 所属分类:文档资料

    • 发布日期:2009-08-24
    • 文件大小:602649
    • 提供者:WGK211@126.com
  1. PID水量控制仿真演示系统

    2下载:
  2. PID水量控制仿真演示系统,很全面很实用的工业控制程序,功能强大,稳定,内配备相应的第三方组件
  3. 所属分类:Windows编程

  1. JSBSim代码

    1下载:
  2. JSBSim是面向对象的(c++),跨平台(windows,linux)六自由度飞行动力模型仿真系统。可以单独运行,也可与其它仿真系统合并运行-JSBSim is an object-oriented (C++), multi-platform, 6-DOF, Flight Dynamics Model (FDM). It can be run as a standalone, batch-mode flight simulator (no graphical displays), or int
  3. 所属分类:Windows编程

    • 发布日期:2010-01-09
    • 文件大小:1153233
    • 提供者:fxx2659
  1. Saber2006中文手册

    0下载:
  2. ABER是美国Analogy公司开发、现由Synopsys公司经营的系统仿真软件,被誉为全球最先进的系统仿真软件,也是唯一的多技术、多领域的系统仿真产品,现已成为混合信号、混合技术设计和验证工具的业界标准,可用于电子、电力电子、机电一体化、机械、光电、光学、控制等不同类型系统构成的混合系统仿真,这也是SABER的最大特点。SABER作为混合仿真系统,可以兼容模拟、数字、控制量的混合仿真,便于在不同层面上分析和解决问题,其他仿真软件不具备这样的功能。
  3. 所属分类:软件工程

    • 发布日期:2010-01-12
    • 文件大小:1460827
    • 提供者:lishyg
  1. 两轴联动数控系统程序开发

    3下载:
  2. 利用VC++结合OpenGL,对两轴联动数控系统的运动过程进行模拟和仿真,值得参考!
  3. 所属分类:OpenGL

    • 发布日期:2009-01-15
    • 文件大小:701292
    • 提供者:denisann
  1. 通信系统仿真原理与无线应用书中matlab源代码

    0下载:
  2. 通信系统仿真原理与无线应用书中matlab源代码
  3. 所属分类:其它

    • 发布日期:2009-02-28
    • 文件大小:106406
    • 提供者:buptlxm@126.com
  1. QPSK通信系统性能分析

    1下载:
  2. QPSK通信仿真与性能分析。仿真了它在加性高斯白噪声信道下的性能。
  3. 所属分类:matlab例程

  1. proteus 仿真系统中文教程

    0下载:
  2. 所属分类:文档资料

    • 发布日期:2009-03-30
    • 文件大小:1020659
    • 提供者:ltq08@
  1. ofdm系统仿真

    1下载:
  2. ofdm系统matlab下的仿真程序qpsk、wage下的仿真~!
  3. 所属分类:matlab例程

  1. 基于Proteus和μC/OS-II的LCD显示器设计与仿真论文

    2下载:
  2. 本设计硬件采用ARM系列芯片LPC2124、液晶模块LM016L和模数转换器ADC0804;软件采用ADS1.2编译器、μC/OS-II操作系统和Proteus。移植μC/OS-II到LPC2124并且编写4个用户任务:LCD显示、ADC0804模数转换、ARM自带AD转换和中断清屏点灯。Proteus仿真结果证明:系统实现多任务功能,可以实时将AD转换结果通过LCD显示,具有友好的人机交互功能。非常适用于电压测量、广告展示、信息显示等场合。
  3. 所属分类:文档资料

    • 发布日期:2009-04-30
    • 文件大小:649728
    • 提供者:380041548
  1. 802.11 OFDM 仿真系统源代码

    0下载:
  2. 所属分类:matlab例程

    • 发布日期:2009-05-02
    • 文件大小:27138
    • 提供者:gonsion
  1. 多功能高精度信号发生器的设计

    1下载:
  2. 摘要:直接数字频率合成(DDS)是七十年代初提出的一种新的频率合技术,其数字结构满足了现代电子系统的许多要求,因而得到了迅速地发展。现场可编程门阵列器件(FPGA)的出现,改变了现代电子数字系统的设计方法,提出了一种全新的设计模式。本设计结合这两项技术,并利用单片机控制灵活的特点,开发了一种新的函数波形发生器。在实现过程中,本设计选用了Altera公司的EP1C6Q240C8芯片作为产生波形数据的主芯片,充分利用了该芯片的超大集成性和快速性。在控制芯片上选用了AT89C51单片机作为控制芯片。本
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2009-05-28
    • 文件大小:2195647
    • 提供者:nacker@126.com
« 1 2 ... 13 14 15 16 17 1819 20 21 22 23 ... 50 »
搜珍网 www.dssz.com