CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 加法器

搜索资源列表

  1. add

    0下载:
  2. 在fpga上实现加法器功能,使用的是vhdl语言-Achieve sum functions on fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:305638
    • 提供者:李为
  1. verilog

    0下载:
  2. 数字信号处理的FPGA实现 第三版 verliog 从简单的加法器 到 现代滤波器-FPGA implementation of digital signal processing third edition verliog from simple adder to modern filter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:326299
    • 提供者:jfkjmfo
  1. adder_4

    0下载:
  2. 三种设计模式的加法器,分别是行为及描述,串行模式,并行模式。希望对大家了解加法器有帮助-Adder three design models, and behavior were described, the serial mode, the parallel mode. I hope to help everyone understand adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:742
    • 提供者:huangchuchuan
  1. Verilog_100exaples

    0下载:
  2. Verilog的100个经典设计实例,包括交通灯的设计代码,智能时钟的设计代码,各种加法器。乘法器的设计代码-100 classic Verilog design examples, including the traffic light design code, intelligent clock design code, a variety of adder. Multiplier code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:113637
    • 提供者:钟朗朗
  1. add8_shift

    0下载:
  2. n位可配置加法器,根据自己的配置扩展成n位加法器-n-bit adder can be configured to extend into the n-bit adder according to their configuration
  3. 所属分类:File Formats

    • 发布日期:2017-04-13
    • 文件大小:2293
    • 提供者:liudehua
  1. adder

    0下载:
  2. 硬件实现的高速并行加法器,包括仿真使用的代码和case-high speed adder and test case
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3015
    • 提供者:susu
  1. 32ADD

    0下载:
  2. 32位超前进位加法器,verilog hdl代码实现,包含源程序-32 lookahead adder, verilog hdl code, including source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3362480
    • 提供者:杭州
  1. 8051_adder

    0下载:
  2. 8051单晶片加法器。结果可以通过4个LED 显示出来。 megawin的板子用于实现。-8051 adder. The results can be four LED display. megawin board for implementation.
  3. 所属分类:SCM

    • 发布日期:2017-05-17
    • 文件大小:4474457
    • 提供者:Vision
  1. add

    0下载:
  2. 用verilog实现的可综合的16位和32位加法器,经过验证了。-Implementation addition with verilog.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:749
    • 提供者:yangyang
  1. adder4

    0下载:
  2. 实现一个加法器功能,程序中添加一个加数和被加数,单击等号按钮即可得到加法结果。-Implement an adder function, program to add a addend and the augend, click the equal sign button addition results are obtaine
  3. 所属分类:Graph program

    • 发布日期:2017-04-15
    • 文件大小:6051
    • 提供者:邓芯
  1. FinalDesign

    0下载:
  2. 实现逻辑门电路的绘制以及运算。并且实现了加法器、减法器、乘法器、比较器等运算-Implementation of logic gate drawing and operation. And implement the adder, subtracter, multiplier, comparator and other operations
  3. 所属分类:Java Develop

    • 发布日期:2017-03-26
    • 文件大小:777733
    • 提供者:张寅艳
  1. adder

    0下载:
  2. 实现了简单的加法器,c++编程入门经典,程序更换了图标-Achieve a simple adder, c++ classic programming entry procedures to replace the icon
  3. 所属分类:Dialog_Window

    • 发布日期:2017-05-08
    • 文件大小:1782301
    • 提供者:loey
  1. adder

    0下载:
  2. 自己做的几个不同方式实现的加法器的方法,可以参考一下-Adder several ways to do their own different ways, you can refer to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4037
    • 提供者:wenjiong
  1. add4_bcd

    0下载:
  2. 程序描述了BCD码加法器,采用的是逢十进一的规则。-Procedures described BCD adder, using the rules of decimal.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:28339
    • 提供者:沈湛
  1. Adder-digital-tube-display

    0下载:
  2. 加法器数码管显示,FPGA的verilog代码-Adder digital tube display
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-04-03
    • 文件大小:241145
    • 提供者:shixiaohong
  1. square

    0下载:
  2. 用qt实现十进制加法器,对初学者来说是个不错的选择-Decimal adder with qt, for beginners is a good choice
  3. 所属分类:Other systems

    • 发布日期:2017-04-14
    • 文件大小:4625
    • 提供者:bing
  1. adder

    0下载:
  2. 包含32位有无符号数的加减法,verilog语言描述,加法器分别采用行为级描述、行波进位、平方根进位三种描述方法,并有简单的testbench-32bits adder with addition and subtraction function. verilog HDL language . three kinds of implementations: adder behavioral descr iption, ripple carry, the square root of the ca
  3. 所属分类:Other systems

    • 发布日期:2017-04-14
    • 文件大小:2954
    • 提供者:D
  1. jiafaqi

    0下载:
  2. 加法器运用vc++的mfc做的简单的加法器只能做加法。为了能下载~-Simple adder
  3. 所属分类:software engineering

    • 发布日期:2017-05-08
    • 文件大小:1857080
    • 提供者:翟石城
  1. carry_skip_adder_verilog

    0下载:
  2. 行波加法器能对两个n位数的各位同时进行加法运算的装置,可由n个一位加法器(全加器)并联而。本程序是它的verilog实现-Line wave and instruments capable of two n-digit device you carry adder, while the n by an adder (full adder) in parallel while. This program is to achieve its verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:755
    • 提供者:杜洵
  1. four-lookahead-adder

    0下载:
  2. verilog_HDL-四位超前进位加法器,学习资料,可以方便的用-verilog_HDL-four lookahead adder, learning materials, you can easily use
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-26
    • 文件大小:24428
    • 提供者:fantong
« 1 2 ... 35 36 37 38 39 4041 42 43 44 45 ... 50 »
搜珍网 www.dssz.com