CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 加程序

搜索资源列表

  1. jiekou

    1下载:
  2. 控制四相步进电机按双八拍的运行方式运行。按下开关SW1时启动步进电机,按ESC键停止工作。采用循环查表法,用软件来实现脉冲循环分配器的功能对步进电机绕组轮流加电。 要求对题目进行功能分析(四项功能:快速顺时针旋转,慢速顺时针旋转,快速逆时针旋转和慢速逆时针旋转),进行步进电机远程控制系统硬件电路设计,画出电路原理图、元器件布线图、实验电路图;绘制程序流程图,进行步进电机控制程序设计(采用8086汇编语言);系统调试、运行,提交一个满足上述要求的步进电机控制系统设计 -Four phase
  3. 所属分类:assembly language

    • 发布日期:2017-04-03
    • 文件大小:43350
    • 提供者:215465
  1. PE_analyser

    0下载:
  2. 对PE文件(exe,dll,sys等)进行分析,还有就是被创建根据进程,列出该程序加载的模块(dll)-Of PE files (exe, dll, sys, etc.) analysis, there is created in accordance with the process set out in the program to load the module (dll)
  3. 所属分类:File Operate

    • 发布日期:2017-04-17
    • 文件大小:69322
    • 提供者:王林
  1. xinhaofashengqi

    0下载:
  2. 自制逻辑笔、信号发生器,电路图加程序 可作为汽车仪表跑表器-Home logical pen, signal generators, circuit diagrams add procedure can be used as car dashboard stopwatch browser
  3. 所属分类:assembly language

    • 发布日期:2017-04-26
    • 文件大小:110348
    • 提供者:duwanli
  1. mfccvaddtw

    0下载:
  2. 语音信号处理的最基本的Maylab处理程序,包括读入语音波形,清音浊音的检测,加窗,过零率,短时能量,基音最大值。最后有test给出演示-Speech Signal Processing Maylab the most basic treatment procedures, including read into the voice waveform, voiceless voiced detection, add windows, zero-crossing rate, short-term
  3. 所属分类:Speech/Voice recognition/combine

    • 发布日期:2017-04-16
    • 文件大小:444356
    • 提供者:张路
  1. Soft20060210093128200

    0下载:
  2. 停车场管理系统源码加程序说明,功能齐全,下载后就可以使用。-Parking management system add-source program that functions can be used after download.
  3. 所属分类:Applications

    • 发布日期:2017-04-29
    • 文件大小:212334
    • 提供者:zonze
  1. IMGRestore

    0下载:
  2. 图像复原的相关程序,内含运动模糊和运动模糊复原、逆滤波退化和逆滤波复原、加噪退化和维纳滤波复原。-Image restoration procedures, including motion blur and motion blur restoration, inverse filtering and inverse filtering to recover degraded, noise degradation and restoration Wiener filter.
  3. 所属分类:Special Effects

    • 发布日期:2017-05-14
    • 文件大小:3461946
    • 提供者:jiaojiao003
  1. Huffman_coding

    0下载:
  2. 讲述一种huffman编码方法的文献,文献里有编码程序的源码,讲解加程序,很全面。-A huffman encoding method on the literature, the literature, there are procedures for the source code to explain the increase in procedures, it is full.
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-04-25
    • 文件大小:289156
    • 提供者:李锋
  1. vxworksdynamicloading

    0下载:
  2. vxworks动态加载的应用,这个非常实用,实现应用程序的动态加载-vxworks application of dynamic loading, this very practical applications to achieve dynamic load
  3. 所属分类:software engineering

    • 发布日期:2017-04-24
    • 文件大小:77354
    • 提供者:wjarjar
  1. CALM

    0下载:
  2. 单片机应用下的计算器,能进行简单的加减乘除,程序也算明了-Application under the single-chip calculator
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-26
    • 文件大小:58383
    • 提供者:lichaojun21
  1. face_detect

    1下载:
  2. 视频目标跟踪中的人脸检测,包括文章加程序-Video target tracking in the face detection, including procedures for the article plus
  3. 所属分类:Special Effects

    • 发布日期:2017-05-06
    • 文件大小:1335692
    • 提供者:于晓辉
  1. 12-7

    0下载:
  2. 主要是51的一些应用和一些例子加程序的应用-51 some of the major applications and some examples of additional procedures
  3. 所属分类:SCM

    • 发布日期:2017-04-28
    • 文件大小:220790
    • 提供者:libohu
  1. JiaMi

    0下载:
  2. 使用vc编写的加密解密程序,有des,aes加解密算法以及界面。-Vc prepared to use encryption and decryption procedures, des, aes encryption algorithm and interface.
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-26
    • 文件大小:25306
    • 提供者:Robert
  1. jisuanqi3

    0下载:
  2. 利用数据结构栈的思想,按照四则运算加、减、乘、除、幂(^)和括号的优先关系和惯例,编写计算器程序-Stack data structure using the ideas, in accordance with the four operations add, subtract, multiply, divide, power (^) and the priority relations between the brackets and practice procedures for the p
  3. 所属分类:Data structs

    • 发布日期:2017-04-12
    • 文件大小:964
    • 提供者:邱越
  1. c51process

    0下载:
  2. 单片机实验加程序,一些较简单和入门的实验和程序-Experimental increase in single-chip process, some of the more simple and the experiments and procedures for entry
  3. 所属分类:SCM

    • 发布日期:2017-04-29
    • 文件大小:298378
    • 提供者:李柏
  1. ASM_FOR_MOTOR

    0下载:
  2. 程序控制步进电机正反转加减速,内容详细可用-POSITIVE AND NEGITIVE ROTATION ACCELEBRATE AND DECELEBRATE
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:287476
    • 提供者:moly
  1. file_encrypt

    0下载:
  2. 简单的文件加解密程序。可以对整个文件夹进行加密和解密。-Simple file encryption and decryption process. Can be carried out on the whole folder encryption and decryption.
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-05-13
    • 文件大小:3464413
    • 提供者:林文
  1. VHDL03

    0下载:
  2. 全加器仿真程序代码,本人亲自测试,代码简单,安全无毒。放心下载和使用。-Full adder simulation code, I personally tested the code simple, safe non-toxic. Ease to download and use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:626
    • 提供者:yanyinhong
  1. bjsjwd

    0下载:
  2. 点对点通信的资料 是论文加程序性质的 计算机网络方向的-Point-to-point data communications is the procedural nature of theses plus the direction of the computer network
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:902340
    • 提供者:王佳妮
  1. PWMLED

    0下载:
  2. 80c51单片机 仿真加程序 led灯 pwm控制-80c51 single-chip simulation plus pwm control procedures led lights
  3. 所属分类:SCM

    • 发布日期:2017-03-25
    • 文件大小:37399
    • 提供者:zcm
  1. light

    1下载:
  2. 这是我最近的开发的一个产品程序, 主要关于步进马达的控制,加减速指数曲线, LCD240*64,外部SRAM的操作.-This is my most recent product development process, mainly on the stepper motor control, exponential curve acceleration and deceleration, LCD240* 64, the operation of the external SRAM.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:251465
    • 提供者:guangzi
« 1 2 ... 6 7 8 9 10 1112 13 14 15 16 ... 50 »
搜珍网 www.dssz.com