CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 单片机msp430

搜索资源列表

  1. dianliuyuan-MSP430

    0下载:
  2. 直流电流源 用到MSP430系列单片机 quartus软件编程 Vhdl语言-DC current source to use MSP430 MCU the Quartus software programming VHDL language
  3. 所属分类:software engineering

    • 发布日期:2017-11-17
    • 文件大小:390702
    • 提供者:
  1. mma7455-test-msp430

    0下载:
  2. mma7455测试程序,用的是430单片机。在液晶显示xyz轴的受力情况。初学者可以参考。-the MMA7455 test program, is 430 microcontroller. The liquid crystal display by the force of the xyz axis. Beginners can refer to.
  3. 所属分类:SCM

    • 发布日期:2017-11-22
    • 文件大小:31797
    • 提供者:Guofeng
  1. 12-1

    0下载:
  2. 基于单片机msp430,使用比较器A进行斜边AD转换程序-Use comparators A hypotenuse AD conversion process
  3. 所属分类:SCM

    • 发布日期:2017-11-27
    • 文件大小:40183
    • 提供者:张平
  1. MSP430-RESET

    1下载:
  2. 介绍MSP430单片机,一种软件复位系统的方法,亲测可行-Describes the MSP430 microcontroller, a software reset system, pro-test is feasible
  3. 所属分类:编程文档

    • 发布日期:2014-03-13
    • 文件大小:10861
    • 提供者:陈峥嵘
  1. MSP430-C-

    0下载:
  2. 本资源是基于MSP430单片机的C语言应用程序设计实例,里面有很多c语言代码和图片,基本上涵盖了MSP430各个部分,是学习MSP430的一份不错的资料。-This resource is based on the MSP430 MCU C language application program design as an example, there are a lot of C code and images, basically covers the MSP430 parts, is a
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-21
    • 文件大小:1431218
    • 提供者:北极星
  1. MSP430

    0下载:
  2. 430单片机程序 想学430 的可以看一下,基本功能都有了-Want to learn 430 430 single-chip program can look at the basic functions are the
  3. 所属分类:Other windows programs

    • 发布日期:2017-12-02
    • 文件大小:6466025
    • 提供者:yuan
  1. F449_12864

    0下载:
  2. 基于单片机MSP430 的数据采集系统 LCD波形显示-LCD waveform display MSP430 microcontroller-based data acquisition system
  3. 所属分类:MultiLanguage

    • 发布日期:2017-11-07
    • 文件大小:554913
    • 提供者:jiake
  1. MSP430(dsplay)_OK

    0下载:
  2. 基于MSP430F149单片机显示波形。-MSP430F149 microcontroller-based display waveforms.
  3. 所属分类:SCM

    • 发布日期:2017-11-15
    • 文件大小:55824
    • 提供者:韦明科
  1. MB1504[msp430]

    0下载:
  2. 可编程锁相环MB1504msp430单片机驱动程序-This is a driver of programmable PLL MB1504 on the mcu msp430.
  3. 所属分类:Other systems

    • 发布日期:2017-11-13
    • 文件大小:23971
    • 提供者:LeeBo
  1. MSP430

    0下载:
  2. 采用MSP430单片机对步进电机的控制,通过I/O口输出的时序方波作为步进电机的控制信号,信号经过芯片ULN2003驱动步进电机;同时,用 4个按键来对电机的状态进行控制,并用数码管动态显示电机的转速。-Using MSP430 microcontroller stepper motor control, through the I/O port output timing square wave as stepper motor control signals, the signal afte
  3. 所属分类:SCM

    • 发布日期:2017-11-23
    • 文件大小:1402
    • 提供者:
  1. MSP430-C

    0下载:
  2. 学习msp430系列单片机很好的编程材料,里面介绍了实用C 语言程序设计技巧。-Learn good programming msp430 MCU material, which describes a practical C language programming skills.
  3. 所属分类:SCM

    • 发布日期:2017-11-24
    • 文件大小:5346284
    • 提供者:kevin
  1. MSP430

    0下载:
  2. 《MSP430单片机常用模块与综合系统实例精讲》中的资源,包括电路原理图和程序源代码。-" MSP430 microcontroller module with integrated system instance used succinctly" in the resources, including circuit schematics and source code.
  3. 所属分类:SCM

    • 发布日期:2017-11-14
    • 文件大小:872426
    • 提供者:samson
  1. MSP430-control-TLV5613

    0下载:
  2. 这个是用MSP430单片机驱动12bit并口数模转换器TLV5613的例子程序。程序中sent_data = 0x0fff,输出模拟电压为最大参考值。-This is done using the parallel port MSP430 microcontroller driver 12bit DAC TLV5613 example program. Program sent_data = 0x0fff, the analog output voltage to the maximum ref
  3. 所属分类:SCM

    • 发布日期:2017-11-30
    • 文件大小:19871
    • 提供者:xiaogong
  1. MSP430-DS18B20

    0下载:
  2. 用MSP430F149单片机和DS18B20进行温度测量-With MSP430F149 MCU and DS18B20 temperature measurement
  3. 所属分类:Driver Develop

    • 发布日期:
    • 文件大小:88167
    • 提供者:liuning
  1. MSP430--temperature-control-system

    1下载:
  2. 基于MSP430单片机的温度控制系统,能对环境温度进行测量, 并能根据温度给定值给出调节量, 控制执行机构, 实现调节环境温度的目的。控制算法基于数字PID 算法。-MSP430 microcontroller-based temperature control system, the ambient temperature can be measured, and according to the temperature setpoint adjustment amount is given
  3. 所属分类:软件工程

    • 发布日期:2013-10-07
    • 文件大小:1245275
    • 提供者:zhaoquan
  1. MSP430

    0下载:
  2. msp430单片机例程,包括基本的几种常用程序-msp430 MCU routine, including basic procedures for several common
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-11-16
    • 文件大小:2435151
    • 提供者:jeason
  1. msp430-microcontroller-C-

    1下载:
  2. MSP430单片机C程序设计与实践,一本关于MSP430f449的电子书-MSP430 microcontroller C program design and practice, an e-book about MSP430f449
  3. 所属分类:software engineering

    • 发布日期:2017-11-12
    • 文件大小:29341648
    • 提供者:单恩国
  1. MSP430

    0下载:
  2. MSP430单片机C语言应用程序设计实例精讲,帮助同学们更好地理解和认知单片机技术-MSP430 microcontroller C language application design example succinctly, to help students better understand and cognitive microcontroller technology
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-06
    • 文件大小:1352077
    • 提供者:杨继鹏
  1. MSP430-and-DS18B20

    0下载:
  2. 基于MSP430单片机的智能电表的设计和源代码-MSP430 MCU-based smart meter design
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:67521
    • 提供者:Pillar
  1. MSP430

    0下载:
  2. 基于MSP430单片机的智能电表设计和相关源代码-MSP430 MCU-based smart meter design and related source code
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:93544
    • 提供者:Pillar
« 1 2 ... 33 34 35 36 37 3839 40 41 42 43 ... 50 »
搜珍网 www.dssz.com