CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 循环计数

搜索资源列表

  1. Main

    0下载:
  2. C语言用函数指针实现多线程,类似于操作系统之任务调度,仅有任务状态,使用循环计数以大概模拟延时。-a little virtul os,just for understanding the multi task and learning hao to use function pointer.
  3. 所属分类:OS Develop

    • 发布日期:2017-03-29
    • 文件大小:739
    • 提供者:陈客
  1. shumaguan

    0下载:
  2. 单片机驱动4位数码管实现个十百千万循环计数。有的数码管不亮是因为硬件的问题。可能是硬件功率太大了。-Microcontroller to drive 4 digital tube ten Millions of cycle counting. Some digital tube does not shine because of hardware problems. May be hardware too much power.
  3. 所属分类:Linux驱动

    • 发布日期:2017-04-03
    • 文件大小:13727
    • 提供者:李子
  1. S2_counter

    0下载:
  2. 本实验主要是利用开发板上面的数码管实现一个十进制计数器的功能,计数范围 0000-9999,可实现循环计数。-In this study, digital development board above the pipe to achieve a decimal counter, counting range 0000-9999 cycle count.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:272439
    • 提供者:luoyong
  1. xuhuanjishu

    0下载:
  2. 一个2位循环计数的keil 和protues文件-A two loop count keil and protues of files
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-28
    • 文件大小:24001
    • 提供者:cooker
  1. xunhuanjishu

    0下载:
  2. 程序扫描键盘,当B键按下时,在屏幕上显示0~9循环计数;S键按下时停止计数;再按B键继续计数过程。E键按下时退出程序。 3、用DEBUG调试程序预置数据和查看程序运行结果,以验证程序正确性-The program scans the keyboard displayed on the screen, when the B button is pressed, 0 to 9 loop count stops counting when the S key is pressed then
  3. 所属分类:assembly language

    • 发布日期:2017-12-03
    • 文件大小:824
    • 提供者:王俊永
  1. 102

    0下载:
  2. 数制变换及计数仿真 模拟十进制数到二进制数的转换过程,以LED来模拟各个二进制位,并能以动画方式模拟计数的过程。 选择手动方式时,将8个LED变成滑动条中数字的二进制(基数2)表示。例如,如果滑动条设置为数字10(在二进制中表示为00001010=(23)+(21)), LED的1和3点亮,其他LED为熄灭。 选择自动方式时,滑动条自动进行加1操作,实现0到255的循环计数;同时8个LED会实时显示滑动条数值所对应二进制数值。当滑动条大于255时,自动回0并重新由0开始计数
  3. 所属分类:LabView

    • 发布日期:
    • 文件大小:16445
    • 提供者:赖全
  1. 0000-9999

    0下载:
  2. 用AT89C51和4个LED数码管显示从0000-9999循环计数-AT89C51 and four LED digital tube display from the 0000-9999 cycle count
  3. 所属分类:SCM

    • 发布日期:2017-11-27
    • 文件大小:41773
    • 提供者:陈春海
  1. alarm

    0下载:
  2. VHDL,多功能数字钟:具有年、月、日、时、分、秒计数显示功能,以24小时循环计数;具有整点报时功能;可以对年、月、日、时、分及秒进行单独校对,使其校正到标准时间-VHDL, multifunction digital clock: a year, month, day, hour, minute, seconds count display features a 24-hour cycle The whole point timekeeping function possible for
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:589557
    • 提供者:yaonan
  1. 3BCDcounter

    0下载:
  2. 该程序为3位BCD计数器,可用于实现0-999循环计数。-The program for the three BCD counters that can be used to implement the loop count 0-999.
  3. 所属分类:Other systems

    • 发布日期:2017-04-14
    • 文件大小:3867
    • 提供者:sky
  1. verilog_EX1

    0下载:
  2. 对50MHz的信号进行2分频信号,寄存器cnt 20ms循环计数-Signals on 50MHz signal divided by 2, the loop count register cnt 20ms
  3. 所属分类:Other systems

    • 发布日期:2017-04-17
    • 文件大小:99905
    • 提供者:王深圳
  1. smg

    0下载:
  2. 基于FPGA 的Verilog语言数码管 1到100的循环计数-fpga LED
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:960717
    • 提供者:wangxing
  1. rainflow

    2下载:
  2. 用于疲劳分析应力应变循环计数的雨流计数程序 采用matlab语言编写-Fatigue Analysis rain flow counting procedures matlab
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:820
    • 提供者:赵博士
  1. LED

    0下载:
  2. 模拟十进制数到二进制数的转换过程,以LED来模拟各个二进制位,并能以动画方式模拟计数的过程。 选择手动方式时,将8个LED变成滑动条中数字的二进制(基数2)表示。例如,如果滑动条设置为数字10(在二进制中表示为00001010=(23)+(21)), LED的1和3点亮,其他LED为熄灭。 选择自动方式时,滑动条自动进行加1操作,实现0到255的循环计数;同时8个LED会实时显示滑动条数值所对应二进制数值。当滑动条大于255时,自动回0并重新由0开始计数。 -Analog dec
  3. 所属分类:LabView

    • 发布日期:2017-04-24
    • 文件大小:16109
    • 提供者:scamp
  1. Digital-clock

    0下载:
  2. 实现数字钟的功能1. 时钟模块:由555振荡器提供时钟,经分频对计数器提供计数时钟信号; 2. 秒钟模块:对秒进行60进制循环计数,并向分钟产生进位,同时具有调分功能; 3. 分钟模块:对分进行60进制循环计数,并向小时产生进位,同时具有调时功能; 4. 小时模块:对小时进行24进制循环计数; 5. 报时模块:在整点时报警,持续约1秒钟; 6. 闹钟模块:在所设定的点进行闹铃,持续1分钟,可以中断; 7. 年月日模块:可以正常地显示年月日。 -To realize t
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:7063
    • 提供者:曹婷
  1. 0-59

    0下载:
  2. STC89C52的数码管0-59循环计数-STC89C52 digital tube 0-59 cycle counting
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:15714
    • 提供者:jack
  1. Circle_LED

    0下载:
  2. 流水灯,单片机控制数码管循环计数,在8位流水灯做循环的时候,数码管从0到8显示,到8后回归到0;-Water lights, microcontroller digital control loop count, done in eight light water cycle time, digital tube display from 0-8 to return to after 8 0
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-14
    • 文件大小:3251
    • 提供者:qikaiyi
  1. serial-communication

    0下载:
  2. VB实现pc与单片机串口通信任务 //利用vb实现pc与单片机串口通信任务 //编写程序代码 定义变量 Dim cnum As Integer 循环计数标志 Dim choosenum As Integer 选择指示灯号 串口初始化 在窗体的Load事件中加入下列代码对串口进行初始化 Private Sub Form_Load() MSComm1.CommPort=1 MSComm1.InputMode=1 MSComm1.RThresho
  3. 所属分类:CSharp

    • 发布日期:2017-04-13
    • 文件大小:1677
    • 提供者:朱光前
  1. 51code

    0下载:
  2. 51汇编编程,实现四位数码管,在中断控制下,从0~9999循环计数-51 assembler programming, the realization of the four digital tube, under the interrupt control, cycle count from 0 to 9999
  3. 所属分类:Communication

    • 发布日期:2017-04-08
    • 文件大小:19220
    • 提供者:tangyinyin
  1. include

    3下载:
  2. 1)设置3个变量,假如A,B,C,其中A在主程序里循环计数,计数间隔为1秒左右(不需要很精确),从0-9循环计数;B在外部中断0程序中计数,计数间隔为1秒左右(不需要很精确),从0计数到9,中断退出;C在外部中断2程序中计数,计数间隔为1秒左右(不需要很精确),从0计数到9,中断退出;外部中断0,1采用下降沿触发,通过按键触发。为了便于观察,P1连接到数码管,P2.0-P2.2对应A,B,C计数选通,每计数一次,将变量输出到数码管上,即在主程序里显示数码管4,在中断0里显示数码管5,在中断1里显
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:2884
    • 提供者:杨海滔
  1. S2_counter_NEW

    0下载:
  2. 设计一个以十进制为基础的计数器,实现从 0 开始的计数功能;本实验主要是利用开发板上面的数码管实现一个十进制计数器的功能,计数范围0000-9999,可实现循环计数。先输入verilog 程序,然后在 QuartusII 中做波形仿真,通过后下载程序在数码管上查看计数器的功能。-Designing a decimal-based counters, a zero-counting function this experiment is the use of digital control b
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:111952
    • 提供者:赵厉
« 1 23 4 5 6 7 8 »
搜珍网 www.dssz.com