CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 数字系统设计

搜索资源列表

  1. applicationofhardwaredescripptionlanguageCVHDLinth

    0下载:
  2. 通过四位乘法器的实例详细介绍了用VHDL语言设计数字系统的流程和方法,通过仿真实现预定目的.
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:157737
    • 提供者:程军兴
  1. shuma

    0下载:
  2. 7段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的,为了满足16进制数的译码显示,最方便的方法就是利用VHDL译码程序在FPGA或CPLD中实现。本项实验很容易实现这一目的。例6-1作为7段BCD码译码器的设计,输出信号LED7S的7位分别接如图6-1数码管的7个段,高位在左,低位在右。例如当LED7S输出为 \"1101101\" 时,数码管的7个段:g、f、e、d、c、b、a分
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:206096
    • 提供者:张龙
  1. vhdl

    0下载:
  2. VHDL是Very High Speed Integrated Circuit Hardware Descr iption Language的缩写, 意思是超高速集成电路硬件描述语言。对于复杂的数字系统的设计,它有独特的作用。它的硬件描述能力强,能轻易的描述出硬件的结构和功能。这种语言的应用至少意味着两种重大的改变:电路的设计竟然可以通过文字描述的方式完成;电子电路可以当作文件一样来存储。随着现代技术的发展,这种语言的效益与作用日益明显,每年均能够以超过30%的速度快速成长。 这次毕
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:473740
    • 提供者:造型
  1. VerilogHDLshujicaiji

    0下载:
  2. 基于Verilog HDL设计的自动数据采集系统 介绍了一种采用硬件控制的自动数据采集系统的设计方法,包括数字系统自顶向下的设计思路、Verilog HDL对系统硬件的描述和状态机的设计以及MAX+PLUSII开发软件的仿真。设计结果表明:该采集系统具有很高的实用价值,极大地提高了系统的信号处理能力。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:78230
    • 提供者:李进来
  1. 9

    0下载:
  2. 新器件应用,2007年第5期,包括基于MF RC500的非接触式IC卡读写器设计,基于ARM920T的嵌入式静力测量系统设计,基于单片机PIC18F66J10的主动放线机设计,TS201在数字信号处理设计中的应用
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:3002541
    • 提供者:王来
  1. petyfer.RAR

    1下载:
  2. 【设计题目】 多功能数字钟的设计 【设计目的】 1掌握数字系统的分析和设计方法 2能够熟练的、合理的选用集成电路器件 3熟悉EWB软件的使用。 【设计指标及要求】 设计一个多功能数字钟,以一昼夜24小时为一个计数周期。准确计时,具有“时”“分”“秒”数字显示。整点能自动打点、报时。要求报时声响四低一高,最后一响为整点。具有校时功能。要求电路主要采用中小规模CMOS集成电路。要求电路尽量简化,并选用同类型的器件。在EWB电子工作平台上进行电路的设计和计算机仿真。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:197865
    • 提供者:petyfer
  1. wannianlidesheji

    1下载:
  2. 实用数字万年历设计:该系统的设计电路是以 AT89S52 单片机为核心控制器,其外围电路主要包括时钟模块,键盘模块,液晶模块, 闹钟模块和与PC 机通信模块等。这种电子时钟不仅具有了一般电子时钟的基本功能,并且具有以下功能:闹 钟时间设置,闹钟音乐选择,显示年月日与星期,显示农历,通过PC 机在Internet 上同步时间,与PC 通信时 PC 机上会显示友好界面等一系列功能。整个系统使用单片机C51 语言进行编程,PC 机上的通信界面有VB 编 程,实现其设计的各种功能。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:516538
    • 提供者:禹佳发
  1. digital_cymometer

    1下载:
  2. 简易数字频率计利用复杂可编程逻辑器件FPGA,VHDL编程将所有功能模块集成在一块芯片上。功能模块包括时基脉冲发生器、计数器、数据锁存器和显示电路4部分。设计时先分别设计各功能模块,并调试得到正确仿真结果,然后将各个功能模块组合起来。最后作整体仿真、下载,得到实物。由于采用纯数字硬件设计制作,稳定性、可靠性远远高于使用单片机或模拟方式实现的系统,外围电路简单。该数字频率计达到预期要求,实现了可变量程测量,测量范围0.1Hz—9999MHz,精度可达0.1Hz。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2014-01-17
    • 文件大小:412830
    • 提供者:严术骞
  1. 18B20

    0下载:
  2. 将模拟温度传感器与数字转换接口电路集成在一起,就成为具有数字输出能力的数字温度传感器用ARM嵌入式系统设计
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2014-01-18
    • 文件大小:831535
    • 提供者:刘翔居
  1. QPSK

    0下载:
  2. 该文介绍了各种信息技术中信息的传输及通信起着支撑作用,而对于信息的传输,数字通信 已成为重要手段。该文根据当今现代通信技术的发展,对QPSK信号的调制解调问题进行了分析, 并给出了用美国ELANIX公司的动态系统设计、仿真和分析软件System View 进行系统仿真的具体 设置,分析了仿真结果,并提出了用FPGA 技术实现这种系统的详细方法。
  3. 所属分类:软件工程

    • 发布日期:2014-01-18
    • 文件大小:379605
    • 提供者:子羽
  1. shuzizhong

    0下载:
  2. 是基于EDA系统上的一24小时制的数字钟设计,利用EDA系统通过Quartus2直接运行。
  3. 所属分类:软件工程

    • 发布日期:2014-01-18
    • 文件大小:189993
    • 提供者:nana
  1. shuzhizhong_kechengsheji

    0下载:
  2. 中南大学数字电子技术课程设计--数字钟的设计 一.设计目的 1. 进一步掌握各芯片的逻辑功能及使用方法。 2. 进一步掌握数字钟的设计方法和和计数器相互级联的方法。 3. 进一步掌握数字系统的设计和数字系统功能的测试方法。 4. 进一步掌握数字系统的制作和布线方法。 二.设计要求 1.设计指标  数字钟具有显示时、分、秒的功能;  有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;  计时过程具有
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:160203
    • 提供者:thocr
  1. pcbdoc

    0下载:
  2. 高速PCB设计指南之(一~八 )目录 一、 1、PCB布线 2、PCB布局 3、高速PCB设计 二、 1、高密度(HD)电路设计 2、抗干扰技术 3、PCB的可靠性设计 4、电磁兼容性和PCB设计约束 三、 1、改进电路设计规程提高可测性 2、混合信号PCB的分区设计 3、蛇形走线的作用 4、确保信号完整性的电路板设计准则 四、 1、印制电路板的可靠性设计 五、 1、DSP系统的降噪技术 2、PO
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:214280
    • 提供者:sung.liu
  1. VHDLandDigitalCircuitDesign

    0下载:
  2. 本书系统地介绍了一种硬件描述语言,即VHDL语言设计数字逻辑电路和数字系统的新方法。这是电子电路设计方法上一次革命性的变化,也是迈向21世纪的电子工程师所必须掌握的专门知识。本书共分12章,第l章---第8章主要介绍VHDL语言的基本知识和使用VHDL语言设计简单逻辑电路的基本方法;第9章和第10章分别以定时器和接口电路设计为例,详述了用VHDL语言设计复杂电路的步骤和过程;第11章简单介绍了VHDL语言93版和87版的主要区别;第12章介绍了MAX+plus II的使用说明。 本书以
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:18692919
    • 提供者:qinlei
  1. fanga

    0下载:
  2. 这是河南省有线数字电视前端系统设计方案介绍
  3. 所属分类:软件工程

    • 发布日期:2014-01-20
    • 文件大小:552338
    • 提供者:qinyifeng
  1. 基于数字信号处理器(DSP)的移相调频

    2下载:
  2. :介绍了一种基于数字信号处理器(DSP)的移相调频(Phase-Shifted and Frequency-Varied,PSFV)PWM控制 逆变电源,给出了主电路拓扑结构,分析了其控制原理并设计了其控制程序流程图。新颖的PSFV 控制能够实现输出 电压90%的调整率,输出电流波动小于单纯移相调功PWM方式,并在轻载时保持连续。功率开关器件零电压零电流 通断(Zero-Voltage-Zero-Current Switching,ZVZCS)软开关的实现,有利于进一步提高开关
  3. 所属分类:软件工程

    • 发布日期:2014-01-20
    • 文件大小:92911
    • 提供者:谢智阳
  1. DecimationFilterDesignforDDCandImplementingItwithF

    0下载:
  2. 本文介绍了在数字下变频(DDC) 中的抽取滤波器系统设计方法和具体实现方案。采用CIC 滤波器、HB 滤波器、FIR 滤波器三级级联的方式来降低采样率。通过实际验证,证明了设计的可行性
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:469343
    • 提供者:roybevan
  1. VHDL

    0下载:
  2. 数字系统与VHDL程序设计语言,更好的学习EDA.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4814540
    • 提供者:郝园园
  1. VHDL_sample

    0下载:
  2. VHDL数字控制系统设计代码 ALARM_SET,BCD_ADD等53个实例,非常适合初学者学习参考
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:47513
    • 提供者:cqq
  1. VHDL_book123

    0下载:
  2. 本书详细介绍了VHDL语言设计数字逻辑电路和数字系统的过程和方法,并对设计中各种相关技术做了详细的介绍,出此之外,本书提供了丰富的 实例,条理清晰,通俗易懂。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:18693498
    • 提供者:果冻
« 1 2 ... 10 11 12 13 14 1516 17 18 19 20 ... 50 »
搜珍网 www.dssz.com