CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 数字钟设计

搜索资源列表

  1. AT89C2051ISD2560

    1下载:
  2. 目前基于单片微机的语音系统的应用越来越广泛,如电脑语音钟、语音型数字万用表、手机话费查询系统、排队机、监控系统语音报警以及公共汽车报站器等等。本文作者用Flash单片机AT89C2051和录放时间达60s的数码语音芯片ISD2560设计了一套智能语音录放系统,实现了语音的分段录取、组合回放,通过软件的修改还可以实现整段录取,循环播放,而且不必使用专门的ISD语音开发设备。-current microcomputer-based voice systems are widely used, suc
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:19509
    • 提供者:易翼
  1. 6c39b755f84775a3d8da072f766399e0

    0下载:
  2. 本文为数字时钟的设计介绍,具体说明如何使用QuartusⅡ软件设计一个基于EP1C6Q240C8芯片的数字钟。该数字钟具备以下功能:1.正常计时2.校正时间3.闹铃设置4.整点报时。-This paper describes the design of a digital clock, specifying how to use the software to design a QuartusⅡ EP1C6Q240C8 chips based on the digital clock. The
  3. 所属分类:assembly language

    • 发布日期:2017-04-26
    • 文件大小:151026
    • 提供者:zw
  1. labview-digital-clock

    2下载:
  2. 基于Labview设计的数字钟,可与电脑的时间实时同步,以24小时进制显示-Based on the Labview design of digital clock, with computer time synchronization, real-time displayed in 24 hours into the system
  3. 所属分类:LabView

    • 发布日期:2017-04-29
    • 文件大小:221221
    • 提供者:lvlongfei
  1. VHDL-Multi-fuction-Clock

    0下载:
  2. 设计一个多功能数字钟,要求显示格式为小时-分钟-秒钟,整点报时,报时时间为10 秒,即从整点前10 秒钟开始进行报时提示,喇叭开始发声,直到过整点时,在整点前5 秒LED 开始闪烁,过整点后,停止闪烁。系统时钟选择时钟模块的10KHz,要得到1Hz 时钟信号,必须对系统时钟进行10,000次分频。调整时间的的按键用按键模块的S1 和S2,S1 调节小时,每按下一次,小时增加一个小时,S2 调整分钟,每按下一次,分钟增加一分钟。另外用S8 按键作为系统时钟复位,复位后全部显示00-00-00。-T
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7658196
    • 提供者:冯雨娴
  1. shuzishizhong

    0下载:
  2. 基于DE2-115开发板设计的一个数字钟,能进行正常的小时、分、秒计时功能,并分别由开发板上面的数码管显示秒(60s)、分(60min)、小时(24hours)的时间。并具有手动调整时间的功能-DE2-115 board design based on a digital clock, and enables the normal hours, minutes, seconds chronograph function, and were above the development board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:3936040
    • 提供者:luo
  1. FPGA

    0下载:
  2. 数字钟的VHDL语言程序,包含了好几个模块,是毕业设计的优秀程序,值得下载!-VHDL language program of digital clock, contains several modules, is an excellent program, graduation design is worth to download!
  3. 所属分类:Other systems

    • 发布日期:2017-05-03
    • 文件大小:974118
    • 提供者:方宁
  1. lesson19

    0下载:
  2. 多功能数字钟,此例程综合了51单片机的所有外设,非常适合毕业设计,有1602,1302,18b20,I2C,eeprom操作,spi等等资源是一个综合性很强的例程-Multifunction digital clock, this routine combines all the peripherals 51 microcontroller, ideal for graduate design, have 1602,1302,18b20, I2C, eeprom operation, spi e
  3. 所属分类:SCM

    • 发布日期:2017-04-30
    • 文件大小:41395
    • 提供者:汪维明
  1. m

    0下载:
  2. 南工程数电课程设计多功能数字钟优秀设计 所有原理图 电路图 实际接线图都在哦-Southern electrical engineering curriculum design number of outstanding design multifunction digital clock schematic circuit diagram of all the actual wiring diagram are oh
  3. 所属分类:SCM

    • 发布日期:2017-04-29
    • 文件大小:447230
    • 提供者:周烨桐
  1. FPGA_JOW74160

    0下载:
  2. 本设计使用了74160期间设计数字钟,并对该设计进行波形仿真,使用QUARTUS ii 设计软件,对于用单元逻辑器件设计数字钟有帮助-This design uses 74160 period design digital clock, and the design of waveform simulation, the use of II QUARTUS design software, the design of the digital clock with the unit logic d
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:466944
    • 提供者:li
  1. shuzizhong3

    0下载:
  2. 数字钟VHDL软件设计,包含多种功能,报时,12,24切换,调时-The design of VHDL digital clock software, including a variety of functions, timer, 12,24 switch, adjustable
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-05-09
    • 文件大小:1694664
    • 提供者:李佳逸
  1. shuzizhong

    0下载:
  2. 采用89C51单片机设计的数字钟,从工程建立到源码编写。-SCM designed digital clock source
  3. 所属分类:SCM

    • 发布日期:2017-05-04
    • 文件大小:33799
    • 提供者:问问
  1. digital-clock

    0下载:
  2. 通过学习使用Quartus软件,掌握自顶向下的模块化设计思想,进行多功能数字钟的设计。-By studying the use of Quartus software, and master the top-down modular design thought, for the design of the multi-function digital clock.
  3. 所属分类:Other systems

    • 发布日期:2017-05-07
    • 文件大小:1131182
    • 提供者:Ansen.J
  1. degital-clock

    0下载:
  2. 有关于数字钟控制系统的程序,模块化设计,简单明了,欢迎下载。-About the control system of digital clock procedures, modular design, simple and clear, welcome to download.
  3. 所属分类:software engineering

    • 发布日期:2017-05-06
    • 文件大小:997862
    • 提供者:车金鸽
  1. clock

    0下载:
  2. 用VHDL 语言设计数字钟,实现在数码管上显示分钟和秒,并且可以手动调节分钟, 实现分钟的增或者减。该设计包括以下几个部分: (1)分频电路的设计,产生1Hz 的时钟信号,作为秒计时脉冲; (2)手动调节电路,包括“时增”“时减”“分增”“分减”。 (3)时分秒计时电路。 (4)7 段数码管显示电路。 将 SW1 和SW2 初始状态均置为高电平。拨动开关SW1 到低,分钟进行加计数,秒停 止计数,当计数到59 时,从00 开始重新加计数,将SW1 拨动到高时,在当前状
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:495748
    • 提供者:panda
  1. FPGA_exp2

    0下载:
  2. 调节数码管显示的文件,适用于CYCLONE II 开发板, 用VHDL语言编写,非常适合移植进数字钟中以实现调节时间的功能。 多模块设计简单明了。-Adjust digital display files for CYCLONE II development board, using VHDL language, it is very suitable for transplantation into digital clock to realize the function of regula
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-15
    • 文件大小:6803456
    • 提供者:陈俊奕
  1. digital-clock

    0下载:
  2. 本源代码是基于AT89S52单片机数字钟的设计仿真,通过asm汇编程序,用proteus仿真软件,实现数字钟的实时显示。-The source code is based on the design and simulation of digital clock on AT89S52 by assembler asm, with proteus simulation software to achieve real-time display digital clock.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-12-12
    • 文件大小:42692
    • 提供者:wang
  1. 单片机实验一

    0下载:
  2. 实用数字万年历设计:该系统的设计电路是以 AT89S52 单片机为核心控制器,其外围电路主要包括时钟模块,键盘模块,液晶模块, 闹钟模块和与PC 机通信模块等。这种电子时钟不仅具有了一般电子时钟的基本功能,并且具有以下功能:闹 钟时间设置,闹钟音乐选择,显示年月日与星期,显示农历,通过PC 机在Internet 上同步时间,与PC 通信时 PC 机上会显示友好界面等一系列功能。整个系统使用单片机C51 语言进行编程,PC 机上的通信界面有VB 编 程,实现其设计的各种功能。(Practical
  3. 所属分类:单片机开发

    • 发布日期:2017-12-25
    • 文件大小:17408
    • 提供者:zeheozc
  1. 秒表

    0下载:
  2. 数字钟的精度、稳定度远远超过老式机械钟。在这次设计中,我们目前只采用LED数码管显示秒来显示最高秒为99秒的方式,根据数码管动态显示原理来进行显示,用基于C52单片机、12MHz的晶振产生振荡脉冲。(The accuracy and stability of the digital clock are much higher than that of the old mechanical clock. In this design, we only use LED digital display
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2017-12-17
    • 文件大小:8192
    • 提供者:tzh123
  1. 60jishuqi (2)

    0下载:
  2. 这是一个可以记到60的计数器,可用于数字钟层次化设计。(This is a counter that can be recorded to 60, and can be used for the hierarchical design of digital clock.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-29
    • 文件大小:3075072
    • 提供者:喵总
  1. 2

    2下载:
  2. 设计一个具有时、分、秒计时的电子钟,按24小时计时。要求: (1)数字钟的时间用六位数码管分别显示时、分、秒; (2)用两个控制键,对数字钟分别进行分、时校正; (3)具有仿广播电台整点报时的功能。即每逢59分51秒、53秒、55秒及57秒时,发出4声500Hz低音,在59分59秒时发出一声1kHz高音,它们的持续时间均为1秒。最后一声高音结束的时刻恰好为正点时刻。 (4)具有定时闹钟功能,且最长闹铃时间为1分钟。要求可以任意设置闹钟的时、分;闹铃信号为500Hz和1kHz的方波信号,两
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:52224
    • 提供者:LIMBO2K
« 1 2 ... 13 14 15 16 17 1819 »
搜珍网 www.dssz.com