CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 数字钟设计

搜索资源列表

  1. shuzizhong

    0下载:
  2. 介绍了用VHDL设计数字钟的相关知识,是学习VHDL的经典例子.
  3. 所属分类:开发工具

    • 发布日期:2008-10-13
    • 文件大小:31943
    • 提供者:方军
  1. EDA

    0下载:
  2. EDA数字钟,有设计文档,实验报告,大家交流学习
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:553317
    • 提供者:hzx1959
  1. digital.clock

    1下载:
  2. 用vhdl语言实现多功能数字钟的设计 这是学习VHDL语言的经典例子
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5105
    • 提供者:郭海东
  1. SIJTQ6tQ

    0下载:
  2. 利用一块芯片完成除时钟源、按键、扬声器和显示器(数码管)之外的所有数字电路功能。所有数字逻辑功能都在CPLD器件上用VHDL语言实现。这样设计具有体积小、设计周期短(设计过程中即可实现时序仿真)、调试方便、故障率低、修改升级容易等特点。 本设计采用自顶向下、混合输入方式(原理图输入—顶层文件连接和VHDL语言输入—各模块程序设计)实现数字钟的设计、下载和调试。 一、 功能说明 已完成功能 1. 完成秒/分/时的依次显示并正确计数; 2. 秒/分/时各段个位满10正确进位
  3. 所属分类:压缩解压

    • 发布日期:2008-10-13
    • 文件大小:678406
    • 提供者:luoliang
  1. duogongnengdianzishuzizhong

    0下载:
  2. 多功能电子数字钟vhdl 计算机专业课程设计必备
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:43462
    • 提供者:李久鑫
  1. 4

    0下载:
  2. 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:835846
    • 提供者:beidouqixing
  1. szzsybk

    0下载:
  2. vhdl设计的简易数字钟,里面有报告的模板,设计思想,设计图,模块代码,简单易懂。
  3. 所属分类:WEB源码

    • 发布日期:2014-01-17
    • 文件大小:283166
    • 提供者:天涯
  1. VerilogHDL_clock

    0下载:
  2. 基于Verilog HDL设计的多功能数字钟,有兴趣的
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-17
    • 文件大小:39209
    • 提供者:沈三思
  1. shuzhizhong

    0下载:
  2. 课程设计,数字钟的电子技术课程设计.数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:846923
    • 提供者:houyong
  1. digitalclock

    0下载:
  2. 关于我的数字钟的设计,设计一个24小时的数字闹钟,该数字闹钟的面板如图9.1所示,它包括以下几个组成部分:(1)显示屏,由7个七段数码管组成,其中6个用于显示当前时间(时:分:秒)或设置的闹钟时间,而另一个则用于显示系统内部产生的周期性循环变化的待选预置数字; (2)YES(确认)键:用于输入新的时间或新的闹钟时间时,对每位待选预置数字输入的确认;(3)TIME(时间)键:用于确定新的时间设置;(4)ALARM(闹钟)键:用于确定新的闹钟时间设置,或显示已设置的闹钟时间;(5)扬声器,在当前时钟
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:636318
    • 提供者:王涛
  1. verilog1

    0下载:
  2. 基于FPGA的多功能数字钟Verilog设计2007-06-17 21:06基本功能: 1.具有时、分、秒计数显示功能(6位数码管构成),以24小时循环为计时基准。 2. 具有调节小时、分钟的功能。 3.具有整点报时功能,整点报时的同时数码管显示闪烁提示。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8144
    • 提供者:aa
  1. zxcxcxzxxczx

    0下载:
  2. EDA大作业设计报告 题 目: 数字钟的设计与制作 学 年: 学 期: 第二学期 专 业: 电子信息工程
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:25411
    • 提供者:秦光
  1. petyfer.RAR

    1下载:
  2. 【设计题目】 多功能数字钟的设计 【设计目的】 1掌握数字系统的分析和设计方法 2能够熟练的、合理的选用集成电路器件 3熟悉EWB软件的使用。 【设计指标及要求】 设计一个多功能数字钟,以一昼夜24小时为一个计数周期。准确计时,具有“时”“分”“秒”数字显示。整点能自动打点、报时。要求报时声响四低一高,最后一响为整点。具有校时功能。要求电路主要采用中小规模CMOS集成电路。要求电路尽量简化,并选用同类型的器件。在EWB电子工作平台上进行电路的设计和计算机仿真。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:197865
    • 提供者:petyfer
  1. wannianlidesheji

    1下载:
  2. 实用数字万年历设计:该系统的设计电路是以 AT89S52 单片机为核心控制器,其外围电路主要包括时钟模块,键盘模块,液晶模块, 闹钟模块和与PC 机通信模块等。这种电子时钟不仅具有了一般电子时钟的基本功能,并且具有以下功能:闹 钟时间设置,闹钟音乐选择,显示年月日与星期,显示农历,通过PC 机在Internet 上同步时间,与PC 通信时 PC 机上会显示友好界面等一系列功能。整个系统使用单片机C51 语言进行编程,PC 机上的通信界面有VB 编 程,实现其设计的各种功能。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:516538
    • 提供者:禹佳发
  1. A_digita_clock_made_by_Microchip

    0下载:
  2. 本次设计中以单片机的发展过程和发展方向为背景,介绍了单片机的输入输出的工作原理和操作方法,中断的工作原理和操作方法。4511的工作原理和操作方法,LED的内部结构。电路设计及调试过程。 本次做的数字钟是以单片机(AT89C51)为核心,结合相关的元器件(共阴极LED数码显示器、BCD-锁存/7段译码/驱动器CC4511等),再配以相应的软件,达到制作简易数字钟的目的,其硬件部分难点在于元器件的选择、布局及焊接。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1253958
    • 提供者:thocr
  1. shuzhizhong_kechengsheji

    0下载:
  2. 中南大学数字电子技术课程设计--数字钟的设计 一.设计目的 1. 进一步掌握各芯片的逻辑功能及使用方法。 2. 进一步掌握数字钟的设计方法和和计数器相互级联的方法。 3. 进一步掌握数字系统的设计和数字系统功能的测试方法。 4. 进一步掌握数字系统的制作和布线方法。 二.设计要求 1.设计指标  数字钟具有显示时、分、秒的功能;  有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;  计时过程具有
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:160203
    • 提供者:thocr
  1. clock

    0下载:
  2. 基于单片机设计的数字钟的设计,该数字钟功能教齐全有整点报,8路闹钟设置,年月日显示,秒表记时功能.
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:2324808
    • 提供者:张坤
  1. szz

    0下载:
  2. 是EDA设计的数字钟的VHDL语言程序,可用Max+Plus2进行编译,仿真并下载到芯片中。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2151
    • 提供者:leo
  1. dpjshuzizhong

    0下载:
  2. 本论文详细介绍了多功能数字钟的设计,使读者快速了解。
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:51764
    • 提供者:ss
  1. timeclock

    0下载:
  2. 数字钟在日常生活中最常见,应用也最广泛。本文主要就是设计一款数字电子时钟钟,以AT89C51单片机为核心,四位一体共阴数码管显示模块、轻触开关做功能设计等功能模块。本数字电子时钟采用24小时制方式显示时间和星期,及年月日显示等功能,同时还具有闹钟,定时,秒表的功能。 文章的核心主要从硬件设计和软件编程两个大的方面。硬件电路设计主要包括单片机,按键,数码管显示,电源等几部分组成。软件用汇编语言来实现,主要包括主程序、显示子程序、时钟子程序、定时子程序、秒表子程序等软件模块。
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:4072
    • 提供者:rockyta
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 19 »
搜珍网 www.dssz.com