CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 方波发生器

搜索资源列表

  1. 四相载波发生器

    0下载:
  2. 本代码采用Altera公司的FPGA为主控芯片,以开发软件QuartusⅡ为工具,采用EDA设计中的自顶向下与层次式设计方法,使用精简的DDS算法完成了输入为14MHz,输出四路频率为70MHz的四相序正弦载波(相位分别为0°、90°、180°、270°)的设计。还完成了输入为14MHz,输出为70MHz的四相序方波载波(相位分别为0°、90°、180°、270°)的设计。利用Verilog HDL语言进行了程序设计并用QuartusⅡ对设计进行了仿真,验证了其正确性。
  3. 所属分类:源码下载

  1. DAC0832.rar

    1下载:
  2. 基于DAC0832的简单波形发生器,可以产生方波,锯齿波,三角波,正弦波,并可以通过键盘进行切换。,Based on the simple DAC0832 and can produce pulse waveform generator, sawtooth wave, triangle, sine wave, and can through the keyboard.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2012-11-21
    • 文件大小:19425
    • 提供者:陈辉
  1. hanshufashengqi.rar

    0下载:
  2. 用单片机与DAC0832 构成的波形发生器,可产生方波、三角波、锯齿波、正弦波等多种波形,波形的周期可用程序改变,并可根据需要选择单极性输出或双极性输出,具有线路简单、结构紧凑、性能优越等特点。,Constitute a single chip with the DAC0832 using the waveform generator to generate square wave, triangle wave, sawtooth, sine wave, etc., the cycle wave
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:82491
    • 提供者:hlhi
  1. DAC.rar

    0下载:
  2. 信号发生器 控制DAC输出最高100M方波、三角波、正弦波,function generator
  3. 所属分类:SCM

    • 发布日期:2017-03-24
    • 文件大小:914453
    • 提供者:wpc
  1. Signal.rar

    0下载:
  2. 单片机设计任意信号发生器,可输出正弦波,三角波,方波等,Arbitrary signal generator single-chip design can be output sine wave, triangle wave, square wave, etc.
  3. 所属分类:assembly language

    • 发布日期:2017-04-01
    • 文件大小:421558
    • 提供者:宋玥
  1. squarewavegeneration.rar

    0下载:
  2. 可调方波发生器,可以控制方波的幅值,频率,占空比。,Adjustable square wave generator, square wave to control the amplitude, frequency, duty cycle.
  3. 所属分类:Audio program

    • 发布日期:2017-04-04
    • 文件大小:16236
    • 提供者:应高峰
  1. DA

    0下载:
  2. 波形发生器啊 可以产生方波,锯齿波 三角波 正弦波-Ah waveform generator can produce square wave, sawtooth wave sine triangle
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:148787
    • 提供者:豪仔
  1. dp

    0下载:
  2. 正弦波,三角波,方波信号发生器程序,C语言编写,频率可调-Sine wave, triangle wave, square wave signal generator process, C language, frequency adjustable
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-01
    • 文件大小:2375
    • 提供者:李玉辰
  1. sin_vhdl

    0下载:
  2. 由可编程器件控制的信号发生器可输出正弦波、方波、锯齿波,其频率可调。能输出正 弦波、方波、锯齿波的组合波形,且组合波形的频率可调。还能输出占空比和频率可调的方 波。-Controlled by a programmable device signal generator can output sine wave, square wave, sawtooth wave, its frequency is adjustable. Be able to output sine wave, sq
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:135434
    • 提供者:chen
  1. (PWM)

    0下载:
  2. 方波发生器程序。AVR单片机程序。MEGA16-Square-wave generator procedures. AVR Singlechip procedures. MEGA16
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-24
    • 文件大小:32592
    • 提供者:MQS
  1. 1

    0下载:
  2. 多功能波形发生器 方波(占空比可调) 三角波 -Multi-function waveform generator square wave (variable duty cycle) triangular wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:652
    • 提供者:wanghua
  1. ICL8038

    0下载:
  2. 此为icl8038的函数发生器芯片使用说明,该芯片可以完成三角波、正弦波、方波的变换,经过使用,效果可以满足一般的要求。-This is the ICL8038 function generator chip for use, the chip can complete the triangular wave, sine wave, square wave of transformation, through the use of results to meet the general requ
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:60779
    • 提供者:鲁乐
  1. zdq

    0下载:
  2. 利用定时器中断制作方波发生器,通过LED灯的暗亮时间来测量其周期-Use timer interrupt the production of square-wave generator, through the dark bright LED lights to measure the cycle time
  3. 所属分类:DSP program

    • 发布日期:2017-03-31
    • 文件大小:5714
    • 提供者:刘铃华
  1. sgs32

    0下载:
  2. Verlog HDL 写得一款32路方波发生器,例子是4路可以自己加,相位可调,频率可调,占空比可调。具体参见readme.doc.此处只提供了源码包含顶层模块sgs32.v 子模块dds.v和pll设置模块altp.v及波形驱动文件-Verlog HDL write a 32 square-wave generator, for example, is able to add 4-way, phase adjustable, adjustable frequency, adjustable d
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:60012
    • 提供者:TTHR
  1. WaveformGenerator

    0下载:
  2. 波形发生器设计与总结报告 摘 要:本设计是基于信号发生芯片MAX038的多功能波形发生器。由MAX038、D\A转换,MAX414运算放大器、LCD12864显示、单片机以及外围电路构成的多波形发生器。利用MAX038产生正弦波、三角波、锯齿波、方波的波形,单片机通过D\A转换对MAX038的控制,从而实现频率和占空比的步进调控,在1Hz~2.4MHz内产生任意正弦波、三角波、锯齿波和方波。 采用MAX414和TLC549构成信号放大采样电路,用液晶模块LCD12864可实现实时显示波
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:150724
    • 提供者:liaoyintang
  1. The_code_Wave_of_AT89S52

    0下载:
  2. 文件内容是:基于单片机AT89S52的函数信号发生器的源代码,代码能够显示方波,正弦波,三角波。-The content of the document is: based on single chip AT89S52 function signal generator source code, code to display square wave, sine wave, triangular wave.
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:2496
    • 提供者:Gerry_song
  1. fangbafashengqi

    0下载:
  2. 本设计是关于方波发生器和音调识别器的设计,以NE567音调解码器为基础器件,配上其它适当的零部件,在试验箱上进行电路的设计连接。本文详细介绍了LM567的内部结构、工作原理、性能指标和选择参数以及用其所设计的频率调制器(方波发生器)和音频信号识别器(音调控制开关)。-The design is on the square-wave generator and a tone recognizer designed to NE567 tone decoder-based devices, with
  3. 所属分类:Project Design

    • 发布日期:2017-03-30
    • 文件大小:48597
    • 提供者:苏东坡
  1. bxfsq

    0下载:
  2. 波形发生器的代码,具有产生正弦波、方波、三角波的功能。-Waveform Generator code has generated sine wave, square, triangle-wave function.
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:16831
    • 提供者:李仁刚
  1. jiyuVHDLyuyandehanshuxinghaofashengqi

    0下载:
  2. 好用的函数信号发生器,能产生多种波形,例如,正弦波,方波,锯齿波,阶梯波。-Useful function signal generator, can produce a variety of waveforms, for example, sine wave, square wave, sawtooth, wave ladder.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1794
    • 提供者:sdfs
  1. 29782184

    0下载:
  2. 函数信号发生器 本系统能够产生正弦波、方波、三角波。同时还可以作为频率计测频率。函数信号的产生由MAX038和外围电路完成,能产生1Hz—20MHz的波形。波形选择由单片机完成。输出或输入频率经74HC390分频后,由单片机完成自动频率检测显示。 关键词:波形产生器、频率计、MAX038、74HC390、AT89S51。 -Function signal generator of the system can produce sine, square, triangular wave.
  3. 所属分类:assembly language

    • 发布日期:2017-04-28
    • 文件大小:95629
    • 提供者:张一
« 1 2 3 45 6 7 8 9 10 ... 28 »
搜珍网 www.dssz.com