CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 正弦信号发生器

搜索资源列表

  1. zf3127.doc

    0下载:
  2. 函数发生器是一种在科研和生产中经常用到的基本波形产生器,集成函数 波形发生器一般都采用ICL8038或5G8038,而它们只能产生300kHz以下的中低频正弦波、矩形波和三角波,且频率与占空比不能单独调节,从而给使用带来很大不便。本文介绍由LM324和稳压管组成的低频函数波形发生器,该电路能够产生正弦波、方波和三角波信号,频率能以10Hz的间隔在20Hz~20KHz范围内调整。-Function Generator is a scientific research and production
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:437875
    • 提供者:张枫
  1. wave0001

    0下载:
  2. 在MAX-PLUS下设计的函数消耗发生器,波形有正弦波、方波、三角拨、锯齿波(用键盘选择),信号频率可调(用键盘调节)-the MAX-PLUS design of the consumption function generator, a sine wave, square, triangle area and Sawtooth (keyboard), in signal frequency adjustable (keyboard conditioning)
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:132905
    • 提供者:曹海学
  1. AD9851

    0下载:
  2. 用KS0108控制器的LCD做的任意频率信号发生器(正弦波和方波)AD9851是可以直接产生方波的(里面有比较器)-Made of LCD KS0108 controller at any frequency signal generator (sine wave and square wave) AD9851 can directly produce square wave (there is a comparator)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-05-05
    • 文件大小:4672
    • 提供者:赵伟
  1. signalgenerator

    0下载:
  2. matlab用设计一个信号发生器,并同时画出信号的时域表示,自相关函数及功率谱。白噪声,单位冲激信号,正弦信号,方波信号,三角波信号-matlab design with a signal generator, and at the same time draw time-domain signal representation, the autocorrelation function and power spectrum. White noise, unit impulse signal,
  3. 所属分类:Other systems

    • 发布日期:2017-05-05
    • 文件大小:70197
    • 提供者:想阿
  1. DAC0832

    0下载:
  2. 数模变换案例。通过单片机控制DAC0832作为低频函数信号发生器使用,可以输出矩形波、三角波、锯齿波和正弦波4种波形。-Digital- to- Analog Conversion Case. Through the MCU control DAC0832 as a low-frequency function signal generator, Inside contains three single-chip key processing source code and the corr
  3. 所属分类:SCM

    • 发布日期:2017-05-06
    • 文件大小:696347
    • 提供者:丽丽
  1. DDS

    4下载:
  2. 信号发生器设计 信号发生器由波形选择开关控制波形的输出, 分别能输出正弦波、方波和三角波三种波形, 波形的周期为2秒(由40M有源晶振分频控制)。考虑程序的容量,每种波形在一个周期内均取16个取样点,每个样点数据是8位(数值范围:00000000~11111111)。要求将D/A变换前的8位二进制数据(以十进制方式)输出到数码管动态演示出来。-Signal generator design The signal generator is controlled by waveform se
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-25
    • 文件大小:8041746
    • 提供者:韩大马
  1. singnt

    0下载:
  2. 基于verilog的正弦发生器,可以产生正弦信号-Based verilog sine generator,Can produce a sinusoidal signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1507192
    • 提供者:
  1. tpfsq

    0下载:
  2. 低频信号发生器低频信号发生器采用单片机波形合成发生器产生高精度,低失真的正弦波电压,可用于校验频率继电器,同步继电器等,也可作为低频变频电源使用。-Low frequency signal generator
  3. 所属分类:LabView

    • 发布日期:2017-12-13
    • 文件大小:63576
    • 提供者:fh
  1. Sine-wave-generator

    0下载:
  2. ADUC841单片机应用,正弦波信号发生器,方便移植-ADUC841 microcontroller applications, the sine wave signal generator, to facilitate transplantation
  3. 所属分类:SCM

    • 发布日期:2017-12-15
    • 文件大小:81920
    • 提供者:xianglin
  1. Multi-function-signal-generator

    0下载:
  2. 多功能信号发生器,通过计算机声卡输出制定参数的正弦波方波等信号。-Versatile signal generator, developing sine Fang Bo parameters like signal output by the computer sound card.
  3. 所属分类:LabView

    • 发布日期:2017-12-11
    • 文件大小:28627
    • 提供者:喱檬
  1. shuangluxinhaoyuanziwancheng

    0下载:
  2. 基于原子stm32开发板的双路低频信号发生器,可实现正弦波,方波三角波,锯齿波,幅值可调,频率可调,可触摸操作-Based on atomic stm32 development board of the double low frequency signal generator, which can realize sine wave, square wave triangle wave, sawtooth wave, adjustable amplitude, frequency adjus
  3. 所属分类:Other Embeded program

    • 发布日期:2017-12-12
    • 文件大小:6221310
    • 提供者:秦绪帝
  1. DDS

    0下载:
  2. 基于FPGA的任意波形信号发生器,可实现频率、幅度、相位的调节,输出方波、正弦波、锯齿波-Arbitrary waveform generator based on FPGA
  3. 所属分类:software engineering

    • 发布日期:2017-12-12
    • 文件大小:11318258
    • 提供者:王勇
  1. dds1

    0下载:
  2. 通过FPGA实现的,dds数字信号发生器,可产生正弦波,方波,锯齿波,三角波(DDS digital signal generator through FPGA, DDS digital signal generator, can produce sine wave, square wave, sawtooth wave, triangle wave)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-24
    • 文件大小:9141248
    • 提供者:灏浩东
  1. wave form generator

    0下载:
  2. 基于DDS的函数信号发生器,产生正弦波,方波,三角波和锯齿波(Function signal generator based on DDS generated sine wave, square wave, triangle wave and sawtooth wave)
  3. 所属分类:通讯编程

  1. LPC2148

    0下载:
  2. 实现信号发生器.以单片机LPC2148为控制核心,主要由键盘与LCD显示模块、正弦波和方波发生模块(DDS)、三角波发生模块(CPLD与高速D/A)、增益控制和放大模块组成。(Implementation of signal generator)
  3. 所属分类:微处理器开发

    • 发布日期:2018-01-05
    • 文件大小:61440
    • 提供者:asdfa.
  1. fft4

    0下载:
  2. FFT及IFFT算法的C语言实现,信号发生器产生的正弦信号在通过该算法可以准确算出频率(The implementation of the FFT algorithm in the C language)
  3. 所属分类:Linux/Unix编程

    • 发布日期:2018-01-10
    • 文件大小:2048
    • 提供者:王小小小
  1. fft5

    0下载:
  2. FFT及IFFT算法的C语言实现,信号发生器产生的正弦信号在通过该算法可以准确算出频率,计算耗时较短。(The implementation of the FFT & IFFT algorithm in the C language,After testing,the results are reliable.)
  3. 所属分类:Linux/Unix编程

    • 发布日期:2018-01-10
    • 文件大小:2048
    • 提供者:王小小小
  1. 虚拟频谱分析仪设计

    0下载:
  2. 设计的虚拟频谱分析仪由周期性信号发生器、信号幅频/相频特性、频谱分析结果三个子模块组成。信号发生器子模块生成两路模拟输入信号,一路是可调频率、相位和振幅的正弦信号、方波、三角波、锯齿波、白噪声,另一路是指可调频率、相位和振幅的正弦波、方波、三角波、锯齿波、白噪声,最后利用信号合成器把两路信号混合起来作为生成的2路模拟信号(The designed virtual spectrum analyzer consists of three sub modules, which are periodic
  3. 所属分类:其他

    • 发布日期:2018-05-01
    • 文件大小:67584
    • 提供者:火爆夏日
  1. DDS

    0下载:
  2. 基于FPGA的DDS信号发生器,可产生频率可调的正弦波(DDS signal generator based on FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:3398656
    • 提供者:cdy
  1. 俄国DDS

    0下载:
  2. 国外一款DIY的信号发生器,可以产生常用的辅助测试用信号,如正弦波,三角波,方波等等。
  3. 所属分类:其它程序

    • 发布日期:2020-07-02
    • 文件大小:2153233
    • 提供者:yerufeng
« 1 2 ... 22 23 24 25 26 2728 »
搜珍网 www.dssz.com