CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 测频率

搜索资源列表

  1. cpld11245

    0下载:
  2. 主要介绍了等精度频率测量原理,该原理具有在整个测试频段内保持高精度频率 测量的优点 同时在该原理基础上,采用了Verilog HDL语言设计了高速的等精度测频 模块,并且利用EDA开发平台QUARTUS11 3 .0对CPLD芯片进行写人,实现了计数等 主要逻辑功能 还使用C语言设计了该等精度频率计的主控程序以提高测量精度。本设 计实现了对频率变化范围较大的信号进行频率测量,能够满足高速度、高精度的测频要 求。-Introduced, such as the accuracy
  3. 所属分类:Other systems

    • 发布日期:2017-03-28
    • 文件大小:320759
    • 提供者:zhengwei
  1. freq

    1下载:
  2. 智能频率计 1. 频率测量范围为1Hz~1MHz 2. 当频率在1KHz以下时采用测周方法 其它情     况采用测频方法.二者之间自动转换 3. 测量结果显示在数码管上,单位可以是Hz(H)、    KHz(AH)或MHz(BH)。 4. 测量过程不显示数据,待测量结果结束后,直接显示结果。 -Intelligent frequency meter 1. Frequency measurement range of 1Hz ~ 1MHz 2. When th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-11-09
    • 文件大小:238680
    • 提供者:谭超
  1. 51pinlu

    0下载:
  2. 51构成一个频率计,可以测正弦波、三角波、脉冲波周期,四位数码显示-51 constitutes a frequency meter can be measured sine wave, triangle wave, pulse wave cycle, four digital display
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:1793
    • 提供者:认真就好
  1. fangzhen

    0下载:
  2. vhdl代码: 采用等精度测频原理的频率计程序与仿真!初学fpga者可以参考参考!!比较简单-VHDL code: Using the principle of frequency measurement accuracy, such as the frequency of procedures and simulation! FPGA beginner who can refer to reference! ! Relatively simple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:123528
    • 提供者:daxiadian2
  1. fq

    0下载:
  2. 51单片机测频率的程序,还包括仿真的文件,还有1602的液晶驱动程序,是测频率的好选择-51 Single-chip frequency measurement procedures, the document also includes the simulation, there are 1602 of the LCD driver, is a good choice of measurement frequency
  3. 所属分类:SCM

    • 发布日期:2017-03-26
    • 文件大小:42170
    • 提供者:how
  1. frequence_VHDL

    0下载:
  2. 采用等精度测频原理的频率计的程序与仿真 --文件名:PLJ.vhd。 --功能:4位显示的等精度频率计。 -Such as the accuracy of frequency measurement using the principle of the frequency of the procedure and simulation- the file name: PLJ.vhd.- Function: 4 shows, such as precision frequency me
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:123600
    • 提供者:huangsong
  1. 29782184

    0下载:
  2. 函数信号发生器 本系统能够产生正弦波、方波、三角波。同时还可以作为频率计测频率。函数信号的产生由MAX038和外围电路完成,能产生1Hz—20MHz的波形。波形选择由单片机完成。输出或输入频率经74HC390分频后,由单片机完成自动频率检测显示。 关键词:波形产生器、频率计、MAX038、74HC390、AT89S51。 -Function signal generator of the system can produce sine, square, triangular wave.
  3. 所属分类:assembly language

    • 发布日期:2017-04-28
    • 文件大小:95629
    • 提供者:张一
  1. cepin

    0下载:
  2. 本频率计具有测周、测频、测量占空比等基本功能,能自动换档-The frequency meter has a measurement weeks, measuring frequency, measuring the basic functions of duty cycle, etc., can automatic transmission
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:690555
    • 提供者:唐光敏
  1. plj

    0下载:
  2. 数字频率计是一种用来测试周期性变化信号工作频率的装置。其原理是在规定的单位时间(闸门时间)内,记录输入的脉冲的个数。我们可以通过改变记录脉冲的闸门时间来切换测频量程。本文利用EDA技术中的Max+plusⅡ作为开发工具,设计了基于FPGA的8位十进制频率计,并下载到在系统可编程实验板的EPF10K20TC144-4器件中测试实现了其功能。-Digital frequency meter is a kind of cyclical changes in the signal used to tes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:591499
    • 提供者:庄青青
  1. Sin_to_Pulse

    0下载:
  2. 信号转换可以讲非方波信号转换为方波 很好用的啊可以测频率-swif
  3. 所属分类:Other systems

    • 发布日期:2017-04-26
    • 文件大小:11066
    • 提供者:susong
  1. TestingFrequency

    0下载:
  2. (1)频率测试功能:测频范围0.1H~200H。 测试精度:恒为百万分之一。 (2)脉宽测试功能:范围0.1us~1s,精度0.01us。 (3)占空比测试功能。-(1) the frequency of test functions: the scope of testing frequency 0.1H ~ 200H. Test accuracy: Constant for the parts per million. (2) pulse-width test functions
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2014-11-09
    • 文件大小:200045
    • 提供者:zhouhongxi
  1. shuzipinluji

    0下载:
  2. 数字频率计的设计可以分为测量计数和显示。其测量的基本原理是计算一定时间内待测信号的脉冲个数,这就要求由分频器产生标准闸门时间信号,计数器记录脉冲个数,由控制器对闸门信号进行选择,并对计数器使能断进行同步控制。控制器根据闸门信号确定最佳量程。-The design of digital frequency meter can be divided into measurement and display count. The basic principle of its measurement i
  3. 所属分类:Project Design

    • 发布日期:2017-04-26
    • 文件大小:54008
    • 提供者:黄花
  1. SOC

    0下载:
  2. 在电子技术中,频率是最基本的参数之一,又与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。在本次毕业设计中我们选择使用单片机来制作数字频率计,并在实际制作中采用了直接测频法。利用延时产生的时基门控信号来控制闸门,通过在单位时间内计数器记录下的脉冲个数计算出输入信号的频率,最终送入LCD中显示。这样制作出来的频率计不仅可以满足设计题
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:208976
    • 提供者:张林锋
  1. VHDL5

    0下载:
  2. 在电子技术中,频率是最基本的参数之一,又与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。在本次毕业设计中我们选择使用单片机来制作数字频率计,并在实际制作中采用了直接测频法。利用延时产生的时基门控信号来控制闸门,通过在单位时间内计数器记录下的脉冲个数计算出输入信号的频率,最终送入LCD中显示。这样制作出来的频率计不仅可以满足设计题
  3. 所属分类:Project Design

    • 发布日期:2017-04-25
    • 文件大小:119368
    • 提供者:张林锋
  1. CPLD

    0下载:
  2. 在电子技术中,频率是最基本的参数之一,又与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。在本次毕业设计中我们选择使用单片机来制作数字频率计,并在实际制作中采用了直接测频法。利用延时产生的时基门控信号来控制闸门,通过在单位时间内计数器记录下的脉冲个数计算出输入信号的频率,最终送入LCD中显示。这样制作出来的频率计不仅可以满足设计题
  3. 所属分类:Project Design

    • 发布日期:2017-04-05
    • 文件大小:192837
    • 提供者:张林锋
  1. C

    0下载:
  2. 在电子技术中,频率是最基本的参数之一,又与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。在本次毕业设计中我们选择使用单片机来制作数字频率计,并在实际制作中采用了直接测频法。利用延时产生的时基门控信号来控制闸门,通过在单位时间内计数器记录下的脉冲个数计算出输入信号的频率,最终送入LCD中显示。这样制作出来的频率计不仅可以满足设计题
  3. 所属分类:assembly language

    • 发布日期:2017-04-09
    • 文件大小:324927
    • 提供者:张林锋
  1. Frequencymeasurement

    0下载:
  2. 采用等精度测频原理的频率计程序与仿真,需要的朋友可以下栽看看.-Frequency measurement using the principle of accuracy, such as the frequency of procedures and simulation, the need to take a look at the下栽friends.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:123262
    • 提供者:
  1. EDA-basedtechnologiessuchasprecisionmulti-function

    0下载:
  2. 在对三种测频方法进行分析的基础上,介绍了基于EDA技术的等精度测频原理。给出采用AT89C51实现控制并通过FPGA来设计多功能等精度数字频率计的具体方法。该频率计可以兼顾频率计对速度、资源和测频精度等各方面的优化需求。-Frequency of three methods of analysis based on EDA technology based on the principle of frequency measurement accuracy, etc.. AT89C51 give
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:136060
    • 提供者:何蓓
  1. MCU-basedsystemforintelligentfrequencymeter

    0下载:
  2. 介绍了以AT89C2051单片机为核心的智能频率计的设计,利用单片机内部的定时(计数)器完成待测信号周期(频率)的测量。对系统的信号预处理电路、控制电路和显示电路三大部分进行了研究,采用RS232接口电路,利用VB6编程语言实现了PC机串口接收控制程序。-Introduced a single chip AT89C2051 frequency as the core of intelligent design, the use of single-chip internal timer (cou
  3. 所属分类:Project Design

    • 发布日期:2017-04-16
    • 文件大小:225641
    • 提供者:何蓓
  1. Cymometer_of_four_decimal

    0下载:
  2. 四位十进制数字频率计: 测量范围:1Hz~10kHz; 显示时间不少于1S; 具有记忆显示的功能,即在测量过程中 刷新数据,等结束后才显示测量结果,给出待测信号的频率值,并保存到下一次测量结束。-Four decimal digital frequency meter: measuring range: 1Hz ~ 10kHz show that no less than 1S with memory function showed that the cour
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2730
    • 提供者:
« 1 2 3 45 6 7 8 9 10 ... 31 »
搜珍网 www.dssz.com