CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 状态机

搜索资源列表

  1. iic_communication

    0下载:
  2. 实现IIC通信,通过一段式有限状态机实现对SRAM的读写时序,清晰易懂.-this code is very easy to understand
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:473277
    • 提供者:颜爱良
  1. zhuangtaiji

    0下载:
  2. 状态机 FPGA 中的实现,已经通过FPGA的仿真!-FPGA Realization of the state machine has been through the FPGA of simulation!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:125712
    • 提供者:rbj
  1. zuyuan

    0下载:
  2. 这是一个实现有限状态机的verilog编程的程序-This is a realization of finite state machine programming procedures verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:739916
    • 提供者:陈萍春
  1. AD_TLC5510

    0下载:
  2. 用TLC5510实现高速A/D采样。用状态机的方法实现,在状态st0,给A/D一个采样时钟adck的上升沿,同时锁存A/D的输出-Using TLC5510 high-speed A/D sampling. The method used to achieve a state machine, in the state st0, to A/D sampling clock adck a rising edge of, and latched A/D output
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:176550
    • 提供者:哈哈
  1. music

    0下载:
  2. 功能描述:向蜂鸣器发送一定频率的方波可以使蜂鸣器发出相应的音调,该实验通过设计一个状态机和分频器使蜂鸣器发出"多来咪发梭拉西多"的音调。(VHDL)-Function Descr iption: to the buzzer to send a certain frequency square wave can make the appropriate buzzer tone, the experiment by designing a state machine and the divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1078303
    • 提供者:yunhen
  1. aspnet

    0下载:
  2. aspnet开发报销多级审批工作流状态机版本-aspnet development of multi-level reimbursement approval workflow state machine version
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:6748
    • 提供者:薇薇
  1. GPS_applications_and_the_use_of_state_machines_dec

    0下载:
  2. 本程序在周立功的LPC213x开发板上调试通过,本程序主要是熟悉NMEA0183语句及使用状态机解码的优缺点,以及与传统解码程序的不同点。-The program ZLG' s LPC213x development board debugging through the procedure was mainly familiar with the NMEA0183 statement and the use of state machine decoding the advantages
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-08
    • 文件大小:83321
    • 提供者:李军伟
  1. InsideBREW

    0下载:
  2. 全书共分三篇,每一篇均有不同的侧重点。 第一篇是勿在浮沙筑高塔,主要是介绍了理解BREW和嵌入式系统所需要的基本知识。其中包括硬件、C语言、编译器和MakeFile等专业性较强的内容。虽然本篇的主要目的是给初学者夯实基础,但是,我相信其中所讲的内容对专业人士也会有一定的参考价值。 第二篇是磨刀不误砍柴工,主要介绍BREW应用程序的开发过程,让我们能够从开发BREW的应用程序的过程中熟悉BREW平台。在本篇中,还推荐了一个基于BREW事件驱动机制的应用程序框架,这个框架采用了状态机的方式实
  3. 所属分类:BREW

    • 发布日期:2017-05-10
    • 文件大小:2111458
    • 提供者:陈立
  1. yunchengxu

    0下载:
  2. 内附几十种小程序,有状态机、比较器、波形发生器、乘法器、加法器、步进电机控制器等,希望大家能用的上。-Containing dozens of small programs, for reference,This is about FPGA,a tool ,we can study,but in ourselves.
  3. 所属分类:matlab

    • 发布日期:2017-04-06
    • 文件大小:42610
    • 提供者:wanglingyue
  1. zhuangtaiji

    0下载:
  2. 检测姓名序列的状态机。使用VERILOG编写。平台是QuartusII9.1。Cyclone -Detection of sequence state machine name. Prepared using VERILOG. Platform is QuartusII9.1. Cyclone III
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1168428
    • 提供者:海到无涯
  1. Verilog_HDL

    0下载:
  2. 有限状态机和可综合风格的Verilog_HD
  3. 所属分类:Document

    • 发布日期:2017-04-17
    • 文件大小:373394
    • 提供者:梁世成
  1. ser_test

    0下载:
  2. 用Moore状态机测试序列1110010-Test the series"1110010" in Moore FSM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:879
    • 提供者:魏武
  1. mealy

    0下载:
  2. 利用mealy状态机编写的一个8状态的数据检测机,如果输入为0101或者1001则输出为1,否则为0-Prepared using mealy state machine data from an 8-state detector, if the input for the 0101 or 1001 output is 1, otherwise 0
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:12613
    • 提供者:luxin
  1. adc0809

    0下载:
  2. VHDL FPGA ADC0809 数模转换 状态机-VHDL FPGA ADC0809 DAC state machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:138625
    • 提供者:喻炜
  1. fsm1

    0下载:
  2. 高效状态机的源代码,描述了三种类型状态机-Efficient state machine source code, describes three types of state machine
  3. 所属分类:Other systems

    • 发布日期:2017-05-02
    • 文件大小:677300
    • 提供者:hary
  1. VHDL_statemachine

    0下载:
  2. MOORE 和MEALY模型的状态机,用VHDL语言描述,本章讲述状态机实现的原理以及方法,希望对大家有用,同时有练习题和思考题-MOORE and MEALY model state machine, using VHDL language descr iption of the state machine implementation of this chapter describes the principle and method, we want to be useful, while
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:330594
    • 提供者:heguo
  1. transfer

    0下载:
  2. 实现UART的发送功能,采用了状态机来描述其功能。-Achieve UART transmit function, using the state machine to describe its function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1148
    • 提供者:liu weiwei
  1. Miller

    0下载:
  2. 带莫尔_米勒输出的状态机 用于设计一些特殊功能的程序使用-Miller with Moore state machine output
  3. 所属分类:Document

    • 发布日期:2017-04-06
    • 文件大小:638
    • 提供者:王明
  1. ztj

    0下载:
  2. 使用变量的状态机 在设计中通过定义变量调取实现功能-Using the variable state machine
  3. 所属分类:Document

    • 发布日期:2017-04-03
    • 文件大小:530
    • 提供者:王明
  1. me2

    0下载:
  2. 莫尔型状态机 莫尔型状态机 -Moore type state machine
  3. 所属分类:Document

    • 发布日期:2017-04-06
    • 文件大小:661
    • 提供者:王明
« 1 2 ... 28 29 30 31 32 3334 35 36 37 38 ... 50 »
搜珍网 www.dssz.com