CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 秒表

搜索资源列表

  1. 1602LCD

    0下载:
  2. 1602LCD显示秒表 1602LCD显示秒表
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:20643
    • 提供者:tang
  1. stopwatch

    0下载:
  2. 电子秒表,可以显示0.01S到59’59”99.带有开始、暂停、复位于一键的控制功能。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1017
    • 提供者:jacky
  1. 01

    0下载:
  2. 可实现时钟功能,倒计时,秒表,可以修改时间,蜂鸣器报警等
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:2288
    • 提供者:bulaoweng
  1. secondwatch

    0下载:
  2. 用VERILOG实现的秒表 用VERILOG实现的秒表
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:392973
    • 提供者:wwyjs163
  1. 2

    0下载:
  2. 显示系统时间.日期.并且拥有秒表功能,使用M键切换显示模式,Q键退出
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:1724
    • 提供者:shuiyongqiang
  1. 8253

    0下载:
  2. 用8253编制秒表延迟程序-8253 with the preparation of procedural delays stopwatch
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:7115
    • 提供者:yuxi
  1. 8253-2

    0下载:
  2. 利用PC机的硬件定时8253, 编制秒表延时(0~9秒)程序.要求: 按任意键,开始计时, 在屏幕上以1秒间隔从0到9显示 按ESC键,计时停止.-use of PC hardware-timed 8253, the establishment of a stopwatch Delay (0 ~ 9 seconds) program. Requirements : by the arbitrary button, the timer on screen to a second interval
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1822
    • 提供者:周涛
  1. timer

    0下载:
  2. 基于51系列单片机的C语言程序,实现秒表、计算器的功能,可以在51系列单片机上运行起来。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:2408
    • 提供者:stone
  1. 944

    0下载:
  2. 这是一个用汇编做的数字秒表!!这是一个用汇编做的数字秒表
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:51536
    • 提供者:sky
  1. clock

    0下载:
  2. 秒表程序课程设计,可以让那些不想写设计报告的人直接使用-
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:5163
    • 提供者:格瑞斯
  1. miaobiaosheji

    0下载:
  2. 文本基于实时钟芯片的电子秒表的设计 WORD格式
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:181969
    • 提供者:落趁
  1. clock

    0下载:
  2. 数字秒表的设计,reset为归零设置,start为重新计时设置
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:309433
    • 提供者:zhang
  1. 0510210204watch

    0下载:
  2. 数码管、模拟表、数字表、闹铃、秒表计时、倒计时、动画图标、闪烁窗口等功能
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:2692148
    • 提供者:yj0510210204
  1. 1

    0下载:
  2. 使用C语言开发的基于51单片机设计计时秒表,LCD数码管显示
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:52629
    • 提供者:大山
  1. time

    0下载:
  2. vhdl语言编写秒表程序 内含每个模块的源程序
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:235584
    • 提供者:BILL
  1. digitalclockbasedoFPGA

    0下载:
  2. 有时间显示与设置、秒表、闹钟、日期显示与设置功能,用6个数码管显示。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:211791
    • 提供者:卓义伟
  1. 1.

    0下载:
  2. 用VHDL硬件描述语言完成秒表的设计,分6个模块
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:49377
    • 提供者:刘小
  1. XTimer_demo

    0下载:
  2. 这个程序是用Visual C++编写的一个时钟计数器,它是一个非常有用的小工具:像秒表一样计数,或者计数直到的时间,到达指定时间后可以播放MP3提醒,支持多次计数。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:449613
    • 提供者:zhangchunming
  1. miaobiaochengxu

    0下载:
  2. 利用NIOS和QUARTUS系统完成一个秒表的功能,可以实现正序和倒序显示记录的时间。
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:1837
    • 提供者:幻婳
  1. CountdownTimer(VB)

    0下载:
  2. 用VB写的一个倒计时的秒表程序,很小巧而且非常实用。
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:24363
    • 提供者:寡人
« 1 2 ... 12 13 14 15 16 1718 19 20 21 22 ... 50 »
搜珍网 www.dssz.com