CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 秒表

搜索资源列表

  1. smg

    0下载:
  2. 秒表计数,在c51单片机上用键盘控制,启停秒表,用于数码管显示-Stopwatch counting, in the C51 single-chip using the keyboard control, start and stop the stopwatch used in digital display
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:799
    • 提供者:tt
  1. clock

    0下载:
  2. 单片微机实验设计---数字时钟 文件内容: keil 下开发的源代码 并附带生成的hex文件 protuse下时钟的模拟电路,加载hex文件后可模拟仿真 附带较详细的实验报告 时钟功能: 时分秒显示 秒表 闹钟 日历 具体功能调试就知道了,还是蛮不错的,本人第一次做的单片机小功能。(收藏着)-Single-chip computer experiment design the content of the document--- Digit
  3. 所属分类:CSharp

    • 发布日期:2017-03-28
    • 文件大小:240051
    • 提供者:xxh
  1. 60stime

    0下载:
  2. 自己写的秒表采样程序!!!自己写的秒表采样程序-Write your own sampling procedures stopwatch!!! Own stopwatch written sampling procedures
  3. 所属分类:assembly language

    • 发布日期:2017-04-08
    • 文件大小:14868
    • 提供者:weihua
  1. 9.9miaobiao

    0下载:
  2. 9.9秒表C语言单片机课程设计包含Proteus电路图-9.9 Singlechip stopwatch C language curriculum design includes schematics Proteus
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:198161
    • 提供者:包真亮
  1. weijikechengsheji

    0下载:
  2. 所属分类:Education soft system

    • 发布日期:2017-04-24
    • 文件大小:268645
    • 提供者:烟雨
  1. A1

    0下载:
  2. 一个关于AVR单片机的C语言程序 实现秒表的功能与流水灯的显示 非常适合初学者 对学习单片机有很大的帮助-An AVR MCU on the C language program to achieve a stopwatch function and water lights display is ideal for beginners learning Singlechip very helpful
  3. 所属分类:SCM

    • 发布日期:2017-04-12
    • 文件大小:1185
    • 提供者:晓东
  1. shuzishimiaobiao

    1下载:
  2. 数字式秒表,数字电子课程设计!很简单的自己做的!-Digital stopwatch, digital e-course design! Very simple to do their own!
  3. 所属分类:Document

    • 发布日期:2017-04-25
    • 文件大小:373313
    • 提供者:许珂
  1. SHUZIMIAOBIAO

    0下载:
  2. 秒表的逻辑结构比较简单,它主要由、显示译码器、分频器、十进制计数器、报警器和六进制计数器组成。在整个秒表中最关键是如何获得一个精确的100Hz计时脉冲,除此之外,整个秒表还需要一个启动信号和一个归零信号,以便能够随时启动及停止。 秒表有六个输出显示,分别为百分之一秒,十分之一秒、秒、十秒、分、十分,所以共有6个计数器与之对应,6个个计数器全为BCD码输出,这样便于同时显示译码器的连接。当计时达60分钟后,蜂鸣器鸣响10声。 -Stopwatch logical structure is
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6156
    • 提供者:朱书洪
  1. paobiao.v

    0下载:
  2. 秒表,可暂停,计时,复位,在cadence上运行仿真 -Stopwatch, may be suspended, timing, reset, run simulation in cadence
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-06
    • 文件大小:937
    • 提供者:minglang
  1. shiyan3_24

    0下载:
  2. 多功能秒表的设计,VHDL实现,对学习数字逻辑的同学有帮助。-Multi-function stopwatch design, VHDL realize, the students study digital logic has to help.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:441434
    • 提供者:ZhengHuazhen
  1. clock

    0下载:
  2. 电子时钟具有一般时钟所具有的所有功能,定时,报时,显示时间和日期以及秒表等等功能。-electric clock
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-12
    • 文件大小:1380
    • 提供者:huyue
  1. 1602liquidcrystaldisplayprocedures

    0下载:
  2. ATmega128单片机控制的1602液晶显示程序,实现秒表功能,可通过按键设置时间-ATmega128 MCU 1602 LCD process, achieve a stopwatch function, available through key setup time
  3. 所属分类:SCM

    • 发布日期:2017-04-25
    • 文件大小:55536
    • 提供者:谢海
  1. digital_clock

    0下载:
  2. 实现嵌入式系统的秒表计时,时间显示和闹钟功能-Implementation of embedded systems stopwatch timer, time display and alarm clock function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:54256
    • 提供者:土山
  1. 1602LCDXIN

    0下载:
  2. 这是一个用51做的用1602显示秒表的程式,在家可以参考一下-This is a 51 to do with the 1602 show with a stopwatch program at home for reference
  3. 所属分类:SCM

    • 发布日期:2017-04-28
    • 文件大小:17517
    • 提供者:
  1. Clock_calendar_stopwatch

    0下载:
  2. 多功能小时钟(日历、电子钟、秒表,生日提醒生日歌、闹钟、整点提示、)单片机设计-calendar_watch_stopwatch
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:7743
    • 提供者:Alsmile
  1. counter

    0下载:
  2. 利用fpga实现秒表。秒表有开始停止,清零的功能-FPGA implementation using a stopwatch. Have begun to stop the stopwatch, Clear function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:2227
    • 提供者:duwei
  1. vhdl

    0下载:
  2. 6位LED电子钟,非常实用实做过实验,自动报时,秒表-6 LED electronic clock, very useful experiment is done, automatic timer, stopwatch. . .
  3. 所属分类:Document

    • 发布日期:2017-04-14
    • 文件大小:4230
    • 提供者:王睿
  1. watch

    0下载:
  2. 运用VHDL语言编写的秒表程序,能够精确的计时-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:264438
    • 提供者:awige
  1. Timer

    0下载:
  2. 一个电子秒表,用与记录时间,可以精确到豪秒,可以显示当前时间-An electronic stopwatch, and record with time, can be accurate to second-ho, you can display the current time
  3. 所属分类:Windows Kernel

    • 发布日期:2017-05-07
    • 文件大小:1450387
    • 提供者:胡人
  1. 24

    0下载:
  2. 篮球比赛秒表程序,可以用于各种比赛的计时,和游戏使用 ,短小精悍-basketball game stopwatch program
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:649
    • 提供者:白侠
« 1 2 ... 18 19 20 21 22 2324 25 26 27 28 ... 50 »
搜珍网 www.dssz.com