CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 秒表

搜索资源列表

  1. 10001digitalclock

    0下载:
  2. 实现秒表时间日期显示调整功能及整点定时功能的电子时钟-Realize the time and date display Stopwatch function and adjust the whole point of the electronic clock timer
  3. 所属分类:CSharp

    • 发布日期:2017-04-17
    • 文件大小:19793
    • 提供者:liu
  1. miaobia

    0下载:
  2. 秒表,实现0—99秒计时,可以暂停,清零,复位!-Stopwatch, time to achieve 0-99 seconds, you can pause, clear, reset!
  3. 所属分类:assembly language

    • 发布日期:2017-03-23
    • 文件大小:5879
    • 提供者:quhao
  1. dayclock

    0下载:
  2. EDA电子钟,除了基本的功能以外,可实现秒表,闹钟等功能。-EDA electronic clock。include the second clock,alarm clock.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:1003226
    • 提供者:sunying
  1. miaobiao

    0下载:
  2. 秒表实验verilog代码,我已经调试好。希望供大家学习使用。-clock using counter code of verilog HDL.I debug it right
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:400373
    • 提供者:xiaowang
  1. time

    0下载:
  2. 0-99秒可编程,参数可变,可做秒表使用的定时器-0-99 second timer
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:663
    • 提供者:wwqe
  1. miaobiao

    0下载:
  2. 秒表程序,可以实现秒表功能,并可以定时。-Stopwatch program stopwatch function can be achieved and can be regular.
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:62561
    • 提供者:孔力
  1. miaobiao

    0下载:
  2. 设计一个电子秒表,能显示毫秒,秒,分,并具有复位、暂停等功能-Design of an electronic stopwatch, can display milliseconds, seconds, minutes, and has reset, and pause
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:114062
    • 提供者:李明
  1. Stop_Watch

    0下载:
  2. (键控秒表)-stop watch
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:14225
    • 提供者:难得明白
  1. key

    0下载:
  2. 使用定时器学习秒表计时,中断0控制走表,中断1控制清零 -Learning to use timer stopwatch timing, interrupt 0 control away table, clear interrupt 1 control
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:718
    • 提供者:kis4u
  1. 53

    0下载:
  2. 电子时钟的实现,实现24小时制的秒表计数-Implementation of electronic clock, stopwatch system to achieve 24-hour count
  3. 所属分类:assembly language

    • 发布日期:2017-04-07
    • 文件大小:2128
    • 提供者:张龙龙
  1. secondwatch20100716115405

    0下载:
  2. 很不错的秒表程序希望大家共同学习 开发出更好的程序-Very good stopwatch program hope that we learn together to develop better procedures for
  3. 所属分类:Other systems

    • 发布日期:2017-05-09
    • 文件大小:1915208
    • 提供者:袁建超
  1. 99sdaojishi

    0下载:
  2. 实现99S倒计时的源程序,带数码管显示,可修改成秒表-Countdown to the source code to achieve 99S, on behalf of the digital display
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1292
    • 提供者:ap
  1. mcu

    0下载:
  2. 51单片机电子时钟 显示采用1602 可以显示年月日星期 有秒表功能定时功能-51 SCM electronic clock display with 1602 years can be displayed on week stopwatch timer functions
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-06
    • 文件大小:25617
    • 提供者:秦启洋
  1. miaobiao

    0下载:
  2. 秒表实用源程序,用户可根据自己的爱好与兴趣对其进行修改-Stopwatch utility source, the user can according to their own hobbies and interests to modify their
  3. 所属分类:FlashMX/Flex

    • 发布日期:2017-04-17
    • 文件大小:228766
    • 提供者:甘仕伟
  1. miaobiao

    0下载:
  2. 设计秒表 可以实现计数清零 停止 实现 59分59秒-miaobiao design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:33476
    • 提供者:thuwudi
  1. 9

    0下载:
  2. 功能: 可通过M键切换显示模式:日期(年、月、日)、时间(小时、分、秒)、秒表(小时、分、秒、1/100秒)、闹钟(小时、分、秒)。 在日期显示模式,可通过A键依次使年、月、日闪烁或变色,这时可通过I键加1调整。 在时间显示模式,可通过A键依次使小时、分、秒闪烁或变色,这时可通过I键加1调整。 在秒表显示模式,可通过I键切换(启动/暂停)计时,当暂停计时时可通过A键复位。 在闹钟显示模式,可通过A键依次使On/Off标志、小时、分、秒闪烁或变色,这时可通过
  3. 所属分类:CSharp

    • 发布日期:2017-04-14
    • 文件大小:4919
    • 提供者:高振轩
  1. 1602

    0下载:
  2. 1602液晶秒表 上电后液晶屏先显示网站等信息,接着按下S4,定时开始,再次按下 S4暂停,第3次按下显示累积计时,第4次按下暂停计时,任何时候按下S5计数清零。-1602 LCD stopwatch after power LCD display websites and other information first, then press the S4, time began, once again press the S4 suspend, 3rd press shows the
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:3517
    • 提供者:杨强
  1. Text3

    0下载:
  2. 用c程序设计的秒表,使用以89c52单片机。有暂停,继续功能。通过独立按键显示-Stopwatch using c programming, use of 89c52 microcontroller. There pause, resume function. Through independent keypad display
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1109
    • 提供者:delving
  1. vhdl

    0下载:
  2. 基于fpga的vhdl语言,芯片是ep2c8系列,此代码实现的是秒表显示,毫秒到分的数码管显示,数码管是共阳的,分模块设计的,-The vhdl fpga-based language, the chip is ep2c8 series, this code is implemented stopwatch showed milliseconds to-point digital control, digital control is a total of Yang, the sub-modul
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2525184
    • 提供者:liyu
  1. miaobiao

    0下载:
  2. 秒表 自己制作的 小东西 希望大家多多指正-Stopwatch to produce their own little thing I hope Members can correct me
  3. 所属分类:Games

    • 发布日期:2017-04-04
    • 文件大小:15976
    • 提供者:fly宇
« 1 2 ... 33 34 35 36 37 3839 40 41 42 43 ... 50 »
搜珍网 www.dssz.com