CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 脉冲 VHDL

搜索资源列表

  1. pulse_sequence

    0下载:
  2. 用VHDL语言实现了并行脉冲控制器的代码-Using VHDL code parallel pulse controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:993
    • 提供者:Diego
  1. light

    0下载:
  2. 用VHDL语言实现的交通脉冲控制器代码,包括主干道和支路等-Traffic controllers with pulse code VHDL language, including roads and branch etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1709
    • 提供者:Diego
  1. pulse_mo

    0下载:
  2. 这是一个vhdl的脉冲调制程序,可以调制脉宽、占空比、周期等参数,为学习vhdl的人提供了非常好的参考历程。-This is a pulse modulation vhdl program, can be modulated pulse width, duty cycle, period and other parameters, for people to learn vhdl reference provides a very good course.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:842
    • 提供者:殷超
  1. driver

    0下载:
  2. 基于FPGA的脉冲发生,使用的是Quartus仿真环境以及VHDL语言编译-FPGA-based pulse generation, using Quartus simulation environment and VHDL language compiler
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:761
    • 提供者:张张
  1. Controllable-pulse-generator-design

    0下载:
  2. 1、了解可控脉冲发生器的实现机理。 2、学会用示波器观察FPGA产生的信号。 3、学习用VHDL编写复杂功能的代码。 - Controllable pulse generator design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:149997
    • 提供者:漆广文
  1. clock

    0下载:
  2. 用VHDL 语言设计数字钟,实现在数码管上显示分钟和秒,并且可以手动调节分钟, 实现分钟的增或者减。该设计包括以下几个部分: (1)分频电路的设计,产生1Hz 的时钟信号,作为秒计时脉冲; (2)手动调节电路,包括“时增”“时减”“分增”“分减”。 (3)时分秒计时电路。 (4)7 段数码管显示电路。 将 SW1 和SW2 初始状态均置为高电平。拨动开关SW1 到低,分钟进行加计数,秒停 止计数,当计数到59 时,从00 开始重新加计数,将SW1 拨动到高时,在当前状
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:495748
    • 提供者:panda
« 1 2 3 4 5 6 7»
搜珍网 www.dssz.com