CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 自动售货

搜索资源列表

  1. autosellmachine

    0下载:
  2. 用VHDL语言编写的自动售货机程序,下载到EDA实验板上可实现基本的买货售货找零显示总钱等功能。-VHDL prepared by the vending machine procedures, Experimental downloaded to EDA board can achieve basic placing orders showed total sales through irregular money functions.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:230132
    • 提供者:木林森
  1. sellm

    0下载:
  2. vhdl实现的自动售货机 实现了售货,找零等基本功能
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2154
    • 提供者:杨春
  1. 20044841

    0下载:
  2. vhdl编写的自动售货机程序,可以实现一个和多个商品的售货
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-17
    • 文件大小:272164
    • 提供者:李寻
  1. means3

    0下载:
  2. 编写一个程序模拟自动售货机。 可以通过向售货机中投入硬币来购买商品。用户从售货机商品列表选择某一商品并投入硬币。如果金额足够,则提供相应商品;如果金额不够,或者该商品已售完,则将硬币退回。维护售货机的工作人员可以为其添加商品或者取走售货款项。 -Write a program to simulate a vending machine. You can put coins in the vending machine to buy merchandise. The list of g
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:1593
    • 提供者:zengming
  1. waas

    0下载:
  2. 自动上拍卖行。自动摆摊不掉线。自动售货 - Automatic auction. Automatic stall is not dropped. Automatic vending card design is also intended to Oscar
  3. 所属分类:外挂编程

    • 发布日期:2017-11-07
    • 文件大小:103207
    • 提供者:李飞
  1. HCRB

    0下载:
  2. 设计要求:设计一个自动售货机控制系统。该系统能完成对货物信息的存储、进程控制、硬币处理、余额计算、显示灯功能。可以管理4种货物,每种货物的数量和单价在初始化时输入,在存储器中存储。用户可以用硬币进行购物,按键进行货物选择;售货时能根据用户输入的货币,判断钱币是否足够,钱币足够则根据顾客要求自动售货,钱币不足则给出提示并推出;能够自动计算出应找钱币余额、库存数量并显示。-Design requirements: design of a vending machine. The system can
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:686
    • 提供者:DAVE
  1. saleMachine

    0下载:
  2. 完成自动售货机的各项功能,如:添加饮料,更改属性等并能够实现售货过程的各项检查-Completion of the vending machine features, such as: Add drinks, change attributes and to achieve sales of the inspection process
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-01
    • 文件大小:253331
    • 提供者:snaclb
  1. VEND

    0下载:
  2. 自动售货机,可投5 角和一元两种硬币; (2) 饮料单价为2.5 元; (3) 系统能够根据用户输入的硬币,判断钱币是否足够,当所投硬币达到或者超过购买者所选面值时,则根据顾客的要求自动售货,并找回剩余的硬币,然后回到初始状态,当所投硬币面值不够时,则给出提示,并通过一个复位键退回所投硬币。然后回到初始状态。 -Vending Machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1061
    • 提供者:
  1. VHDL-program-for-vending-machines

    0下载:
  2. 这是自动售货机的VHDL程序,能够实现自动售货功能,只要将钱投进没去,就会得到你所要求的货物!-VHDL program of the vending machine, vending, as long as the money into did not go get the goods you have requested!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1088
    • 提供者:徐辉
  1. 24l01_by_pail

    0下载:
  2. 自己写的24l01发送接收程序,原来是自己用在无线自动饮料售货机上,现在单独拿出来,可以传信息。-24l01 sending and receiving process to write their own, the original is used in the wireless automatic beverage vending machines to separate out now, you can pass the information.
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:187955
    • 提供者:ydp
  1. sell

    0下载:
  2. 自动售货机 系统能自动销售货物,自动完成对货物信息的存储、进程控制、硬币处理、余额计算和显示等功能。 自动售货机可以管理4种货物,每种的数量和单价在初始化时输入,在存储器中存储;用户可以用硬币进行购物,利用按键进行选择;售货时能够根据用户投入的硬币,判断钱币是否够,钱币足够则根据顾客要求自动售货,钱币不够则给出提示并退出;能够自动计算出应找钱币余额、库存量。 -Vending machine system can automatically sale of goods, auto-c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1143
    • 提供者:陳秋
  1. VSELLLERRe

    0下载:
  2. 一种基于verilog HDL的自动售货机控制电路设计:能对5种不同种类的货物进行自动售货,价格分别为A=1.00,B=1.50,C=1.80,D=3.10,E=5.00 。售货机能接受1元,5角,111角三种硬币(即有三种输入信号IY,IWJ,IYJ),并且在一个3位7段LED(二位代表元,一位代表角)显示出来以投入的总钱数,最大9.90元,如果大于该数值,新投入的硬币会退出,选择货物的输入信号I -Verilog HDL-based vending machine control cir
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-28
    • 文件大小:1571
    • 提供者:清醒
  1. 1000710326

    0下载:
  2. 3.编写一个程序模拟自动售货机。可以通过向售货机中投入硬币来购买商品。用户从售货机商品列表选择某一商品并投入硬币。如果金额足够,则提供相应商品;如果金额不够,或者该商品已售完,则将硬币退回。维护售货机的工作人员可以为其添加商品或者取走售货款项。利用CRC卡片设计类和类中的方法,同时给出UML图并实现该程序-3. Write a program to simulate the vending machine. Can pass to the vending machine into the COI
  3. 所属分类:assembly language

    • 发布日期:2017-11-24
    • 文件大小:1667
    • 提供者:xu ming le
  1. SodaMachine-Completed

    0下载:
  2. 系统使用微软工作流实现自动售货,使用C#语言,基于.net框架。系统结构清晰,实现了基本的售货功能,可以作为微软工作流初学者的入门教程使用。 -System uses the Microsoft workflow implementation vending, the use of C# language, based on.Net framework. The system structure is clear, the realization of the basic sales fun
  3. 所属分类:CSharp

    • 发布日期:2017-11-18
    • 文件大小:193688
    • 提供者:何侃
  1. shouhuoji

    0下载:
  2. 自动售货机,基于c++语言编写的自动售货机,可以实现自动售货。-Vending machines, based c++ language vending machines, vending can be achieved.
  3. 所属分类:MPI

    • 发布日期:2017-04-13
    • 文件大小:1717
    • 提供者:朱丹
  1. automat

    0下载:
  2. 一个简单的模拟自动售货记得程序 模拟给钱-选货-找零-A simple simulation program to simulate the vending remember to give money- choose the goods- Keep the change
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-17
    • 文件大小:4343302
    • 提供者:柴承训
  1. yinliao_verilog

    0下载:
  2. 设计一个自动售货系统,卖soda水的,每份5分钱,只能投进三种1分、2分、5分硬币,要正确的找回钱数。用verilog编程,语法要符合FPGA设计的要求。-Design a vending system, selling soda water, 5 cents each, only dropped three kinds of 1 minute, 2 minutes, 5 coins, money back to the correct number. With verilog programm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4241
    • 提供者:于秋雨
  1. zidongshouhuoji

    0下载:
  2. 设计一个自动售货机控制系统。该系统能完成对货物信息的存储、进程控制硬币处理、余额计算、显示等功能。可以管理4种货物,每种货物的数量和单价在初始化时输入,在存储器中存储。用户可以用硬币进行购物,按键进行货物选择,售货时能够根据用户输入的货币来判断钱币是否足足够,钱币够则根据顾客要求自动售货,钱币不够则给出提示并退出;能够自动计算出应找的钱币余额、库存数量并显示。-Design a vending machine control system. The system is able to compl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2092
    • 提供者:武文
  1. shouhuoji.labview

    0下载:
  2. 用labview设计了一个自动售货机的系统,完成自动售货的功能。-With labview designed a vending machine system to complete the function of vending.
  3. 所属分类:LabView

    • 发布日期:2017-05-04
    • 文件大小:49872
    • 提供者:Meng
  1. zidongshuohuoji

    0下载:
  2. 基于Labview开发模拟的自动售货机机程序,包含整个项目的工程文件-Based on Labview development of vending machine simulation program, including engineering documents the entire project
  3. 所属分类:LabView

    • 发布日期:2017-12-16
    • 文件大小:668672
    • 提供者:刘火
« 1 23 »
搜珍网 www.dssz.com