CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 自动完成

搜索资源列表

  1. 绝地程序编辑器

    0下载:
  2. 此软件支持多文档打开,支持多种程序语言语法高亮度显示:Delphi,SQL,Basic,C++, Perl,Java,HTML, TCL/tk,AWK scr ipt,Python CA-Clipper MS-DOS Patch File。多种选择模式支持,支持程序语言语法高亮度显示颜色的可视化调整,支持书签:十个书签,支持多级Undo和Redo操作,层次数可以自己设置。 支持最近文件列表,最近文件的数量可以自己设置。支持查找、替换:同Delphi: 支持直接编辑Delphi的窗体文件(dfm)
  3. 所属分类:编辑器/阅读器

    • 发布日期:2008-10-13
    • 文件大小:312494
    • 提供者:张强
  1. 自动售货机VHDL程序与仿真

    0下载:
  2. library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买
  3. 所属分类:文档资料

  1. Symbian全局长按键录音上传到网络

    2下载:
  2. 本程序只要开着,在任何界面下长按C键开始录音,再次长按C键停止录音,并给全局提示是否上传至网络.上传完成后网络自动断开.无接入点提示.
  3. 所属分类:Symbian

    • 发布日期:2009-06-03
    • 文件大小:205855
    • 提供者:saiv000@126.com
  1. VA_X_Setup1649

    0下载:
  2. Visual Assist X VC的辅助代码编辑工具,自动完成语法,即时函数能数说明及用法显示,让你编写C代码的时候得心应手. 用过了就会上隐.
  3. 所属分类:代码辅助

    • 发布日期:2008-10-15
    • 文件大小:4312401
    • 提供者:janground
  1. 针对MSP430编写的USB接口

    1下载:
  2. 针对MSP430编写的USB接口, 其中的usb端口通信已经作为一个模块来使用,用户只需用以下函数就可以对usb端口进行方便地读写: unsigned char D12_WriteEndpoint(unsigned char endp, unsigned char len, unsigned char * buf); 此为usb端点输出函数,endp是要进行通信的端点,取值为3(普通端点,最大可一次传输16byte)或5(主端点,最大可一次传输64byte);len为一次输出数据长度;*buf为
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2009-02-24
    • 文件大小:13916
    • 提供者:sunyuansch1108
  1. 自动售货机

    0下载:
  2. 先投入一定数目货币,然后根据货币购买力进行相应货物的选择,然后确定购买,出货并找零,完成交易。也可在确定购买前任何时刻按取消键退出所有货币。
  3. 所属分类:VHDL编程

    • 发布日期:2009-05-15
    • 文件大小:1600
    • 提供者:mycfly
  1. 超市贵宾卡管理系统

    0下载:
  2. 本系统在满足贵宾卡的基本管理功能基础上,注意发挥信息系统的自动化,以减轻管理人员工作负担,相关数据的计算都由系统自动完成,用户和管理员注重做一些查询即可.
  3. 所属分类:软件工程

    • 发布日期:2011-05-22
    • 文件大小:1272440
    • 提供者:buerzhouzhu
  1. Auto Complete

    0下载:
  2. 用delphi编写的自动完成例子
  3. 所属分类:对话框与窗口

  1. akautocomplete

    0下载:
  2. 用于edit的自动完成,很好的示例代码
  3. 所属分类:界面编程

    • 发布日期:2011-12-31
    • 文件大小:81303
    • 提供者:pound12
  1. LuaIDE

    5下载:
  2. LuaIDE是基于一个叫Scintilla的开源控件制作的,目的是为lua程序员提供一个工程化管理工具,可以动态随着用户编辑来维护函数符号表,包括高亮显示,自动完成tips,函数参数提示,等功能,具体看源码。。联系我,caihedongorder@126.com
  3. 所属分类:系统编程

  1. 电力自动抄表管理系统delphi源码

    2下载:
  2. 电力自动抄表管理系统delphi源码由于现在的抄表机所支持的数据库只是dbase的.DBF数据库格式,而目前的营业收费系统所使用的数据库是Microsoft的Access,需把与现场抄表有关的数据导入一个.DBF数据库中,将些数据库与抄表程序一同导入抄表机中,待现场抄表后只需将此.DBF数据库表传回计算机,由程序从此数据库中读抄表底数数据到系统的Access数据库中,完成抄表工作。,Automatic meter reading the power management system delp
  3. 所属分类:Delphi控件源码

    • 发布日期:2017-05-13
    • 文件大小:3563668
    • 提供者:dasdas
  1. AutoComplete.rar

    0下载:
  2. delphi 下实现自动完成的一个小例子,delphi auto-complete implementation of a small example
  3. 所属分类:Delphi VCL

    • 发布日期:2017-03-29
    • 文件大小:9455
    • 提供者:可恶
  1. mtk.rar

    1下载:
  2. .支持全系列MTK芯片组(6205.6217.6218.6219.6225.6226.6227.6228.6229...等)读.写.格.解锁.解密 2.网站上下载回来的48资料可直接写入,平台自动完成解密功能,写完资料直接开机即可。 3.如遇到用别的广家仪器解密写入不开机的,只要是资料问题用我们的平台把手机资料读出来,然后在写回去即可开机(已经测试N次了)。 4.解锁和修复普通软件问题,直接点击复位手机即可。 5.平台自动识别CPU、字库、容量大小,自动读.写,读写均为全
  3. 所属分类:MTK

    • 发布日期:2017-05-16
    • 文件大小:4201983
    • 提供者:mmdghost
  1. puzzles.rar

    0下载:
  2. 算法全名为数字拼图问题(八数码)算法,讲述一个自动完成九宫格数字拼图的算法,Algorithm, called the whole issue of the number of puzzles (8 digital) algorithm, on a number of auto-complete puzzle squares algorithm
  3. 所属分类:Algorithm

    • 发布日期:2017-03-28
    • 文件大小:64855
    • 提供者:PT
  1. FTPClient.rar

    0下载:
  2. 实现自动上传文件到指定服务器,并完成后释放内存.,Auto updown File to the server,shut down and free memory after the assign over.
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-21
    • 文件大小:6441233
    • 提供者:王黎明
  1. zuohekuang

    0下载:
  2. 告诉你如何去实现带自动完成功能的组合框的源代码-Tells you how to achieve auto-complete function with the source code of the combo box
  3. 所属分类:ComboBox

    • 发布日期:2017-04-05
    • 文件大小:11501
    • 提供者:wen
  1. QQ_llk

    0下载:
  2. QQ连连看辅助程序,可实现消除一对,自动完成等功能.-QQ Lianliankan auxiliary procedures, can eliminate a pair of auto-complete functions.
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-04
    • 文件大小:297349
    • 提供者:张小
  1. SpiderProxy.rar

    0下载:
  2. 找代理服务手工验证是一个很繁琐的工作,本代码使用C#抓取代理地址列表,通过 www.cnproxy.com等网站, 并自动完成对可用代理服务器验证功能,Looking for proxy service manual verification is a very tedious work, the code uses the C# proxy address list to crawl through www.cnproxy.com such sites, and automatically
  3. 所属分类:CSharp

    • 发布日期:2017-04-09
    • 文件大小:1047061
    • 提供者:长老
  1. 51单片机红外发送端程序

    1下载:
  2. 51单片机红外发送端程序,载波频率38K,由单片机自动完成调制-Infrared transmitter 51 MCU program, the carrier frequency of 38K, done automatically by the MCU modulation
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2015-01-30
    • 文件大小:1503
    • 提供者:侯羿
  1. PhpEdit

    1下载:
  2. synEdit 制作 php 编辑器的例子,自定义语法加亮,自动完成,代码提示-synEdit make an example of php editor, custom syntax highlighting, auto completion, code tips
  3. 所属分类:RichEdit

    • 发布日期:2015-01-25
    • 文件大小:46080
    • 提供者:hutian
« 1 2 3 4 5 67 8 9 10 11 ... 50 »
搜珍网 www.dssz.com