CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 自动

搜索资源列表

  1. ExcelInfoRefrence

    0下载:
  2. EXCEL文件间信息调用,自动引用信息到指定文件的特定位置-excel file data refrence
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-29
    • 文件大小:10206
    • 提供者:jetmeng
  1. ShutdownComputer

    0下载:
  2. 电脑自动关机程序的VC实现,很实用的小软件-Automatic computer shutdown procedures VC implementation, it is a small software utility
  3. 所属分类:ActiveX-DCOM-ATL

    • 发布日期:2017-04-16
    • 文件大小:25463
    • 提供者:anthony
  1. zidongtiaojiao

    0下载:
  2. 基于图像处理的相机自动调焦系统,具有较高参考价值,谢谢支持-Based on image processing camera automatic focusing system, with high reference value, thanks to support
  3. 所属分类:Graph program

    • 发布日期:2017-04-16
    • 文件大小:76890
    • 提供者:侯永华
  1. camera_automatic_focusing_system_based_on_image_pr

    0下载:
  2. 基于图像处理的相机自动调焦系统,上传分享.-Based on image processing camera automatic focusing system
  3. 所属分类:Special Effects

    • 发布日期:2017-04-29
    • 文件大小:78495
    • 提供者:lizhi
  1. qwe

    0下载:
  2. :本设计采用集成芯片ICL7107作为数字电压表的A/D转换及锁存和译码模块,使得电路具有设计 简单、集成度及可靠性高的特点。该系统设计了自动切换量程功能,能够实现0~199mV、0-1.99V、0~19.99V、 0~199.9V、0~1999V,共5个量程电压值的测量。本系统做成了电路板,进行了测试,得到了良好的测试结果。-: This design uses a chip as a digital voltage meter ICL7107 the A/D conversion a
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:191890
    • 提供者:lanchenglin
  1. TestDataBuilder1.0.4.6

    0下载:
  2. TestDataBuilder是一个采用Java编写的,完全开源,免费的测试数据生成工具,软件遵循GPL协议. 功能描述 本程序是一款自动化测试辅助工具 可以帮助程序开发或测试人员自动生成数据库表中的测试数据,并且具有期望的值分布和列间相关性 可以通过配置工具配置数据生成的规则,并且有一个自动配置引擎,可以根据已经存在的数据库自动生成配置文件 支持如下几种规则: 自动增长,一般为数据型. 随即方式,数据型或字符串. 枚举类型, 用户事先输入枚举值
  3. 所属分类:Java Develop

    • 发布日期:2017-05-07
    • 文件大小:1416758
    • 提供者:liuxiaojie
  1. sms_sp

    0下载:
  2. 短信网关接口源代码 1、 spClient.exe 客户端服务程序,用于接收到的短信存入数据库中,以及把存入数据库中的短信自动发送出去! 2、 project1.exe 插入数据到发送表中,spClient程序将会自动检测数据并发送。 3、 通讯数据库表名:msgcomm.doc, 这是数据库表名的说明文档,内有ACCESS及SQL SERVER数据库生成文档 4、 用户接口标准.txt, 这是网关收发数据的格式说明,存入数据库中的短信将最终转换成此格式并发送
  3. 所属分类:SMS

    • 发布日期:2017-05-02
    • 文件大小:655903
    • 提供者:zjs
  1. QQzidongdengluqi

    0下载:
  2. 用vc实现的qq自动登录器,内含源文件和可执行程序-Vc super implementation by Mary, includes source files and executable programs! !
  3. 所属分类:GUI Develop

    • 发布日期:2017-04-26
    • 文件大小:119648
    • 提供者:天涯咫尺
  1. autoreg

    0下载:
  2. 可以实现在网站上自动注册的功能。经过扩充可以达到目前一些商务推广软件的功能-Can be achieved automatically register on the site functions. Expansion can be achieved through a number of trade promotion software
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-08
    • 文件大小:220642
    • 提供者:牛卫星
  1. SoftwareAutoUpgrade

    0下载:
  2. 使用C#语言实现软件自动升级的实例源码,方便自己开发的软件自行升级维护-The use of C# language implementation automatically upgrade software source code examples to facilitate their own self-developed software upgrade maintenance
  3. 所属分类:Embeded Linux

    • 发布日期:2017-05-03
    • 文件大小:791832
    • 提供者:eric
  1. AutoUpdate

    0下载:
  2. 自动升级程序的程序,本人在单位里由于工作的需要,编写了不少的软件,但这些软件由于管理的改变,不断地更新,而每次更新都要叫各部门来考一份新,觉得很不方便,于是在网上找,但总是找不到好用,因为有时只想简单些,只在能自动更新就行了,因此自己编写了一个,用FTP原理来自动更新想要更新的程序。经过一年的使用,觉得很方便。现经过修改,改得最简(本人认为),全是Delphi自带的控件。现把其发到园地上,以想给想要的参考参考。 -Automatically upgrade the procedure, I
  3. 所属分类:Delphi VCL

    • 发布日期:2017-03-31
    • 文件大小:308713
    • 提供者:sx0100
  1. OnLineUpdate

    0下载:
  2. 自动更新的应用程序,只要设置好版本号,程序就可自动下载更新。-Auto update
  3. 所属分类:WinSock-NDIS

    • 发布日期:2017-05-02
    • 文件大小:815019
    • 提供者:dt
  1. dw_lspj

    0下载:
  2. 用VC++编写的自动控制原理劳斯判据,实现系统稳定性判据-Using VC++ to prepare the Automatic Control Theory Routh criterion, achieve system stability criterion
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-27
    • 文件大小:266138
    • 提供者:da
  1. leituoyuantezhengzidongshibiejiyaxiangsutiqudewanz

    0下载:
  2. 针对视觉检测中高精度、自动化类椭圆图像特征提取的需要,提出一种类椭圆特征自动识别和中心亚像 素定位的完整实现方法。方法中采用基于类椭圆边缘属性对特征区域进行自动识别,采用最小二乘椭圆拟合 精确求取类椭圆亚像素定位中心。实验表明,基于该解决方案,可以实现类椭圆特征的自动识别及椭圆中心的 亚像素定位,定位算法精度较高,鲁棒性强,同时简便易行,不需要人机交互,可以很好地满足视觉检测仪器化 需求。-Vision testing for high-precision, automated
  3. 所属分类:Special Effects

    • 发布日期:2017-04-08
    • 文件大小:180766
    • 提供者:刘镖峰
  1. ProcessingCameraAutomaticFocusingSystem

    0下载:
  2. Visual C++数字图像处理源码-基于图像处理的相机自动调焦系统-Visual C++ digital image processing source code- based on image processing camera automatic focusing system
  3. 所属分类:Special Effects

    • 发布日期:2017-05-11
    • 文件大小:2115307
    • 提供者:0004yh
  1. xmt

    0下载:
  2. autoit编程,星漫通行证注册机,通过网页自动注册,供学习之用-Star Man Pass Zhuceji
  3. 所属分类:Game Hook Crack

    • 发布日期:2017-04-13
    • 文件大小:1695
    • 提供者:ebhb
  1. Automaticallyscan(source)

    0下载:
  2. 完美世界自动扫描人物周围怪物(源码)自动打怪-Automatically scan the surrounding perfect strange (source)
  3. 所属分类:Game Hook Crack

    • 发布日期:2017-04-16
    • 文件大小:11863
    • 提供者:dreamhk
  1. WinCE_NET2009

    0下载:
  2. WinCE嵌入式工业用控制器及自动控制系统设计,适合工业控制的朋友-WinCE embedded industrial controllers and automatic control system design, suitable for industrial control of Friend
  3. 所属分类:Windows CE

    • 发布日期:2017-05-14
    • 文件大小:3245838
    • 提供者:keen
  1. cnoaun

    0下载:
  2. 是一款论坛登录助手,和QQ一样的方便,可以自动登录,有消息时自动提示等-The Forum is a sign aides, and QQ like the convenience, you can automatically log in, there is message automatically when prompted, etc.
  3. 所属分类:Other systems

  1. duobianliangjidianpeizhi

    0下载:
  2. 多变量极点配置,自动控制原理,matlab程序,希望对大家有用-Multivariable pole assignment, Automatic Control Theory, matlab procedures useful for everyone
  3. 所属分类:matlab

    • 发布日期:2017-04-12
    • 文件大小:1130
    • 提供者:wangyan
« 1 2 ... 38 39 40 41 42 4344 45 46 47 48 49 50 »
搜珍网 www.dssz.com