CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 计数器设计

搜索资源列表

  1. shuzizhongdianlu

    0下载:
  2. 利用计数器和分频器设计一个实时的时钟。一共需要1个模24计数器、2个模6计数器、2个模10计数器、一个生成1Hz的分频器和6个数码管解码器。最终用HEX5~HEX4显示小时(0~23),用HEX3~HEX2显示分钟(0~59),用HEX1~HEX0显示秒钟(0~59)。 -The use of counters and prescaler design a real-time clock. Mold needs a total of 24 counters, 2 Die 6 counters,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1043
    • 提供者:linyao
  1. dcdlab3

    0下载:
  2. 数字部件设计,verylog,实现用D-FLIP FLOP 实现计数器功能。-Digital Component Design, verylog, using D-FLIP FLOP realize Counter.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-29
    • 文件大小:263710
    • 提供者:林玲
  1. YLGJK

    1下载:
  2. 亚龙高架库控制程序 VB 基本结构为PLC通过驱动器控制步进电机实现启动、停止、加速、减速、匀速、换向等运动轮廓,各个方向利用限位开关提供限位保护 本装置控制采用德国西门子公司的S7-200系列的PLC,它可以满足多种多样的自动化控制需要,具有紧凑的设计,良好的扩展性,低廉的价格以及强大的指令,使得S7-200可以近乎完美的满足小规模的控制要求。此外,丰富的CPU类型和电压等级使其在解决用户的工业自动化问题时,具有很强的适应性。S7-200系列是一种可编程序逻辑控制器。它能够控制各种设
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-16
    • 文件大小:62552
    • 提供者:kangta
  1. AT89C51

    0下载:
  2. 随着微电子技术的不断发展,微处理器芯片的集成程度越来越高,单片机已可以在一块芯片上同时集成CPU、存储器、定时器/计数器、并行和串行接口、看门狗、前置放大器、A/D转换器、D/A转换器等多种电路,这就很容易将计算机技术与测量控制技术结合,组成智能化测量控制系统。这种技术促使机器人技术也有了突飞猛进的发展,目前人们已经完全可以设计并制造出具有某些特殊功能的简易智能机器人。-With the continuous development of microelectronic technology,
  3. 所属分类:File Formats

    • 发布日期:2017-04-17
    • 文件大小:65175
    • 提供者:林飞
  1. xilinx_count

    0下载:
  2. 关于xilinx环境下的电路设计,验证计数器电路的正确性-About Xilinx design environment, verify the correctness of counter circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4821
    • 提供者:
  1. lingyang16weidanpianjiCyuyanchengxusheji

    0下载:
  2. SPCE061A单片机简介 I/O端口的 C语言程序设计 系统设置的 C 语言程序设计 定时/计数器的 C 语言程序设计-SPCE061A Singlechip brief introduction I/O port of the C language programming system settings of the C language programming timer/counter the C Language Program Design
  3. 所属分类:SCM

    • 发布日期:2017-05-14
    • 文件大小:3271029
    • 提供者:moon
  1. PWMdesign

    0下载:
  2. 定时/计数器PWM设计要点 Timer / Counter PWM design features-Timer/Counter PWM design features
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:2397
    • 提供者:陈梁
  1. devider_design

    0下载:
  2. Abstract循序电路第一个应用是拿来做计数器((笔记) 如何设计计数器? (SOC) (Verilog) (MegaCore)),有了计数器的基础后,就可以拿计数器来设计除频器,最后希望能做出能除N的万用除频器。-Abstract The first application of sequential circuits are used to make counter ((notes) How to design a counter? (SOC) (Verilog) (MegaCore)),
  3. 所属分类:File Formats

    • 发布日期:2017-03-29
    • 文件大小:39651
    • 提供者:王媛媛
  1. shuzipinluji

    0下载:
  2. 数字频率计的设计可以分为测量计数和显示。其测量的基本原理是计算一定时间内待测信号的脉冲个数,这就要求由分频器产生标准闸门时间信号,计数器记录脉冲个数,由控制器对闸门信号进行选择,并对计数器使能断进行同步控制。控制器根据闸门信号确定最佳量程。-The design of digital frequency meter can be divided into measurement and display count. The basic principle of its measurement i
  3. 所属分类:Project Design

    • 发布日期:2017-04-26
    • 文件大小:54008
    • 提供者:黄花
  1. CPLD

    0下载:
  2. 在电子技术中,频率是最基本的参数之一,又与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。在本次毕业设计中我们选择使用单片机来制作数字频率计,并在实际制作中采用了直接测频法。利用延时产生的时基门控信号来控制闸门,通过在单位时间内计数器记录下的脉冲个数计算出输入信号的频率,最终送入LCD中显示。这样制作出来的频率计不仅可以满足设计题
  3. 所属分类:Project Design

    • 发布日期:2017-04-05
    • 文件大小:192837
    • 提供者:张林锋
  1. VHDL

    0下载:
  2. 在电子技术中,频率是最基本的参数之一,又与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。在本次毕业设计中我们选择使用单片机来制作数字频率计,并在实际制作中采用了直接测频法。利用延时产生的时基门控信号来控制闸门,通过在单位时间内计数器记录下的脉冲个数计算出输入信号的频率,最终送入LCD中显示。这样制作出来的频率计不仅可以满足设计题
  3. 所属分类:Project Design

    • 发布日期:2017-04-25
    • 文件大小:220627
    • 提供者:张林锋
  1. jishuqi

    0下载:
  2. 电子设计自动化中的计数器的实现程序,基于VHDL语言完成的-Electronic design automation in the realization of counter procedures, based on the VHDL language completed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:625
    • 提供者:王康
  1. generator

    0下载:
  2. 555定时计数器的使用和脉冲波形的变换与产生原理,有助于大家设计信号发生器 -555 from time to time the use of counters and pulse shape of the transformation and have a theory, help you design the signal generator
  3. 所属分类:Other systems

    • 发布日期:2017-05-03
    • 文件大小:981165
    • 提供者:秦寅
  1. asp_access_count

    0下载:
  2. 此源码是用vbscr ipt连接数据库做的一个计数器,鉴于网上的计数器都是读取文件形式的,但是由于很多网站不可能给你everyone写文件的权限,所以很多计数器源码放入网站后并没有反映,而此计数器是利用数据库来进行计数的,绝大多数支持access数据库的网站都可以支持,只要直接把文件copy入网站的根目录下即可。源码中的test.asp是一个测试计数器的网页,用户只需要将其稍加修改就可以做成图片或数字形式的计数器。 数据库db设计有ID和COUNT两个字段,用户可以通过设置
  3. 所属分类:WEB(ASP,PHP,...)

    • 发布日期:2017-04-26
    • 文件大小:9009
    • 提供者:郑磊
  1. count999

    0下载:
  2. vhdl实现的计数器,可以从0记到999,该代码使用模块化设计思想,开发工具muxplus2-achieved vhdl counter, can be recorded from 0 to 999, the code uses the modular design concept, development tools muxplus2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:206076
    • 提供者:gmaj
  1. m8

    0下载:
  2. 这是一个8分频的VHDL语言设计程序,也可以看成是8进制计数器-This is an 8-frequency design process of the VHDL language can also be seen as a hexadecimal counter 8
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:44865
    • 提供者:yigezi
  1. count10

    0下载:
  2. 基于Quartus II的十进制加法计数器的项目设计,包含了项目文件和VHDL源代码-Quartus II based on the decimal adder counter the project design, including project documents and VHDL source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1406860
    • 提供者:xiexuan
  1. bcd99

    0下载:
  2. 设计了一个计数范围是0到99的BCD计数器并可以显示出来的-Designed a range of counts 0-99, and the BCD counters can be displayed
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:13454
    • 提供者:吕开华
  1. counter

    0下载:
  2. 这是用VHDL设计的十进制计数器,两个VHDL程序分别说明了out和buffer的区别-It is designed with VHDL decimal counter, the two VHDL procedures were illustrated the difference between out and buffer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:324757
    • 提供者:田怡
  1. 124

    0下载:
  2. 本频率计的设计以AT89C51单片机为核心,利用他内部的定时/计数器完成待测信号周期/频率的测量。-high speed
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:29212
    • 提供者:张华
« 1 2 ... 8 9 10 11 12 1314 15 16 17 18 ... 32 »
搜珍网 www.dssz.com