CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 计数器设计

搜索资源列表

  1. traffic_control1

    0下载:
  2. (1) 学习和掌握了解分频电路、通用同步计数器、异步计数器的使用方法; (2) 理解Moore和Mealy两种状态机的一般编程方法,能够按工程控制需求设计相应的逻辑和时序控制程序。 以开发板上的六盏LED小灯模拟,三盏小灯模拟一个方向的红黄绿交通灯灯,用VHDL语言编程实现红绿交通灯控制程序。 -(1) to learn and master the understanding of frequency division circuit, universal synchronous
  3. 所属分类:assembly language

    • 发布日期:2017-05-06
    • 文件大小:602074
    • 提供者:Cherry_RF
  1. FPGA-Traffic-Light-Controller

    0下载:
  2. (1) 学习和掌握了解分频电路、通用同步计数器、异步计数器的使用方法; (2) 理解Moore和Mealy两种状态机的一般编程方法,能够按工程控制需求设计相应的逻辑和时序控制程序。 以开发板上的六盏LED小灯模拟,三盏小灯模拟一个方向的红黄绿交通灯灯,用VHDL语言编程实现红绿交通灯控制程序。 -(1) to learn and master the understanding of frequency division circuit, universal synchronous
  3. 所属分类:assembly language

    • 发布日期:2017-05-05
    • 文件大小:64454
    • 提供者:Cherry_RF
  1. C51-music-Count-down

    1下载:
  2. 资源中包含C51源代码、proteus仿真图以及编译好的hex文件 利用AT89C51单片机结合字符型LCD显示器设计的一个简易的倒数计数器,可用来煮方便面、煮开水或小睡片刻等。做一小段时间倒计数,当倒计数为0时,则发出一段音乐声响,通知倒计数终了,该做应当做的事。 定时闹钟的基本功能如下。 字符型LCD(16 ′ 2)显示器。 显示格式为“TIME 分分:秒秒”。 用4个按键操作来设置当前想要倒计数的时间。一旦按下键则开始倒计数,当计数为0时,发出一阵音乐声。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2017-06-07
    • 文件大小:57788
    • 提供者:mengkunsoft
  1. CNT12

    0下载:
  2. 通过一个简单完整而典型的12进制计数器的VHDL设计实例,来使大家初步了解用VHDL表达以及由此而引出的VHDL语言现象和语句规则。 让大家能够迅速的从整体上把握VHDL程序的基本结构和设计特点,达到快速入门的目的。 -Through a simple and complete and typical 12-band counter VHDL design examples, to make preliminary understanding of VHDL expression and the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:34158
    • 提供者:XINGJINGYU
  1. Counter

    0下载:
  2. 采用HDL语言,实现计数器的功能,这个在程序设计中很常见。-The counter is design by HDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:303422
    • 提供者:robin
  1. digital-clock-circuit-.ms13

    0下载:
  2. 数电_Multisim设计_数字时钟电路 (显示时:分:秒 CP 频率 f 1Hz) 【电路说明】 1 基于 74LS160 做三个计数器(时:24 进制,分:60 进制,秒:60 进制) 2 秒针计数器完成一次计数后,进位给分针计数器的 P 和 T。 分针计数器完成一次计数后,进位给时针计数器的 P 和 T。-Digital circuit _Multisim design _ digital clock circuit (Display: hours: minutes
  3. 所属分类:HardWare Design

    • 发布日期:2017-12-13
    • 文件大小:205146
    • 提供者:WeiDi
  1. Mall-staff-count

    0下载:
  2. 设计了基于单片机的计数器,可以统计进出商场人员数-Designed based on the single-chip counter, you can count the number of staff out of the mall
  3. 所属分类:SCM

    • 发布日期:2017-12-15
    • 文件大小:28672
    • 提供者:wxy512
  1. Example5

    0下载:
  2. 数控分频器设计 数控分频器的功能就是当输入端给定不同的输入数据时, 分频器对输入时钟 信号有不同的分频比,数控分频器就是用计数值可并行预置的加法计数器来设计 完成的,方法是将计数溢出位与预置数装载信号相接得到-NC NC divider divider design feature is that when the given input different input data, the frequency divider with a different frequency di
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-12
    • 文件大小:2973
    • 提供者:贺泽伟
  1. FPGA-8253

    0下载:
  2. 本文就基于 FPGA微机与接口实验平台设计的问题,首先讲述了 核心板的设计。在 FPGA基础上,以可编程计数器 / 定时器 8253 和可编程并行控制器 8255为例,并介绍了 8255 和 8253 接口芯片,用 VHDL语言设计了8255 和 8253 的功能,最后在 ModelSim SE开发软件上实现了编译、调试、-In this paper, based on FPGA computer and interface experimental platform design issues
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-17
    • 文件大小:581632
    • 提供者:吕攀攀
  1. EDA

    0下载:
  2. 熟悉QuartusⅡ的Verilog HDL文本设计流程全过程,学习计数器的设计、仿真和硬件测试。-Familiar with Quartus II Verilog HDL text design process, learning counter design, simulation and hardware testing.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-12
    • 文件大小:32303104
    • 提供者:小麻
  1. count6

    0下载:
  2. 本科课程设计 六进制计数器,带电路原理图,编译已通过。-Undergraduate course design- six decimal counter.Circuit diagram with the compiler has been adopted.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-14
    • 文件大小:542671
    • 提供者:耀敬
  1. PWM2-PWM3

    0下载:
  2. STC15W4K32S4系列单片机有6路高精度PWM,即PWM2、PWM3 、PWM4 、PWM5 、PWM6、PWM7。PWM波形发生器为每路PWM又设计了两个用于控制波形翻转的计数器T1和T2,可以非常灵活的控制每路PWM的高电平和低电平的宽度,实现对PWM占空比及PWM输出延迟进行控制。(STC15W4K32S4 series microcontroller has 6 high precision PWM, that is, PWM2, PWM3, PWM4, PWM5, PWM6, P
  3. 所属分类:单片机开发

    • 发布日期:2017-12-24
    • 文件大小:216064
    • 提供者:hotcoffee
  1. Johnaon_counter

    0下载:
  2. 本设计为六位约翰逊(Johnson)计数器,首先给大家介绍一下什么是约翰逊计数器,它又称扭环计数器,是一种用n位触发器来表示2n个状态的计数器。它与环形计数器不同,后者用n位触发器仅可表示n个状态。2~n进制计数器(n为触发器的个数)有2~n个状态。若以6位二进制计数器为例,它可表示64个状态。但由于8421码每组代码之间可能有二位或二位以上的二进制代码发生改变,这在计数器中特别是异步计数器中就有可能产生错误的译码信号,从而造成永久性的错误。而约翰逊计数器的状态表中,相邻两组代码只可能有一位二进
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-12
    • 文件大小:6299
    • 提供者:Leegege
  1. matlab数字时钟

    0下载:
  2. matlab数字时钟,设计一个能显示日期、小时、分钟、秒的数字电子钟,并具有整点报时的功能。 由晶振电路产生1HZ标准的信号。分、秒为六十进制计数器,时为二十四进制计数器。 可手动校正时、分时间和日期值。(Matlab digital clock, the design of a display date, hour, minute, second digital electronic clock, and with the whole point timekeeping function. T
  3. 所属分类:通讯编程

    • 发布日期:2017-12-27
    • 文件大小:1436672
    • 提供者:小蛋008
  1. 60jishuqi (2)

    0下载:
  2. 这是一个可以记到60的计数器,可用于数字钟层次化设计。(This is a counter that can be recorded to 60, and can be used for the hierarchical design of digital clock.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-29
    • 文件大小:3075072
    • 提供者:喵总
  1. baduanshumaguan

    0下载:
  2. 用VHDL语言设计并实现一电路,其功能是8个数码管分别显示数字0-7。首先是数码管0显示0,其他数码管不显示;然后是数码管1显示1,其他数码管不显示;依此类推,数码管7显示完后再显示数码管0,这样循环下去。(提示:数字0-7的循环可以使用8进制计数器对1Hz的时钟信号进行计数得到,计数器的输出送到BCD到七段数码管的译码器,由其驱动数码管显示相应的数字。)(Using VHDL language to design and implement a circuit, its function is
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-03
    • 文件大小:110592
    • 提供者:一个人丶
  1. VHDL实验程序

    0下载:
  2. 包含EDA基础实验程序,计数器、电子密码锁等设计程序(Including the EDA basic experiment program)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-01-06
    • 文件大小:7695360
    • 提供者:云青瓶
  1. wannianli

    0下载:
  2. 2、 掌握QuartusII软件的使用; 3、 掌握计数器的设计; 4、 掌握分频器的设计; 5、 掌握时、分、秒的设计; 6、 数码管的扫描显示; 7、 掌握数字钟的整体设计(2, master the use of QuartusII software; 3. Master the design of the counter; 4. Master the design of frequency divider; 5, mastering the design of time,
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-01-06
    • 文件大小:2040832
    • 提供者:夜光
  1. timer_se

    0下载:
  2. 数字时钟可以显示分、秒,并通过按键进行复位;数字时钟由四个基本模块组成,顶层模块、分频模块、计数模块、译码显示模块。(1)分频模块 分频器将开发板提供的6MHz时钟信号分频得到周期为1s的控制信号,控制计数器改变状态。(2)计数模块:秒钟和分钟利用两个模60的BCD码计数器实现。计数器分为高4位与低4位分别控制低4位每秒钟加1,变化状态为0~9,低4位状态变化到9时,高4位加1,变化状态为0~5。秒钟计数达到59时,分钟低四位从1开始,每59秒加1,低4位状态变化到9时,高4位加1,变化状态为0
  3. 所属分类:其他

  1. kebenchengxu

    0下载:
  2. VHDL代码,一些课本的小程序。包含3线-8线译码器,4选1选择器,6层电梯,8线-3线编码器,8线-3线优先编码器,8选1,BCD-7段显示译码器真值表,半加器,摩尔状态机,数字频率计,数字时钟,同步计数器,序列检测器的设计,序列信号发生器,一般状态机等等。(The small program of some textbooks. Includes 3 -8 decoder, 4 1 selector, 6 elevator, line 8 Line 8 line -3 encoder, -3
  3. 所属分类:其他

    • 发布日期:2018-04-22
    • 文件大小:40960
    • 提供者:girl_lily
« 1 2 ... 26 27 28 29 30 3132 »
搜珍网 www.dssz.com