CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 计数器设计

搜索资源列表

  1. NumClock

    0下载:
  2. 基于Altera公司系列FPGA(Cyclone EP1C3T144C8)、Verilog HDL、MAX7219数码管显示芯片、4X4矩阵键盘、TDA2822功放芯片及扬声器等实现了《电子线路设计• 测试• 实验》课程中多功能数字钟实验所要求的所有功能和其它一些扩展功能。包括:基本功能——以数字形式显示时、分、秒的时间,小时计数器为同步24进制,可手动校时、校分;扩展功能——仿广播电台正点报时,任意时刻闹钟(选做),自动报整点时数(选做);其它扩展功能——显示年月日(能处理
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:23375
    • 提供者:田世坤
  1. cnt60

    0下载:
  2. 同步计数器和异步计数器在设计时有哪些区别?试用 六进制计数器和一个十进制计数器构成一个六十进制同步计数器。-synchronous and asynchronous counter counter in the design these differences? 6 probation and 229 counters constitute a decimal counter a six decimal synchronous counter.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:848
    • 提供者:sunqionghui
  1. JTD

    0下载:
  2. 带左拐的交通灯设计与25进制的加法计数器,Maxplus2软件中的Verilog语言编写-Neunggok with the design of traffic lights at 229 with the addition of 25 counters, simulated software Verilog language
  3. 所属分类:Linux/Unix编程

    • 发布日期:2008-10-13
    • 文件大小:1894
    • 提供者:hujianj
  1. vhdl_clock

    0下载:
  2. VHDL实现数字时钟,利用数码管和CPLD 设计的计数器实现一个数字时钟,可以显示小时,分钟,秒。程序主要要靠考虑十进制和六十进制计数器的编写。 以上实验的程序都在源代码中有详细的注释-VHDL digital clock, the use of digital control and CPLD design to achieve a number of counter clock, show hours, minutes and seconds. The procedure depends
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:324949
    • 提供者:赵海东
  1. sdgshjd

    0下载:
  2. 数字系统设计这是有关的相关源代码,有简易CPU 除法器、计数器等 ...[fpdiv_vhdl.rar] - 四位除法器的vhdl源程序 [vhdl范例.rar] - 最高优先级编码器8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使 BR> ... -Digital System Design This is the underlying source code, a simple C
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:838
    • 提供者:张瑞
  1. clockdesign

    0下载:
  2. 通过使用定时计数器8253实现微机原理实验时钟功能设计-through the use of Arithmometer 8253 PC principle experiment Clock Design
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:4930
    • 提供者:Johnny
  1. AVR-6

    0下载:
  2. AVR单片机入门及C语言高效设计实践(六) ATMEAG16L的定时/计数器 ATMEAG16L有两个8位定时/计数器(T/C0、T,C2)和一个16位定时/计数器T/C1)。每一个计数器都支持PWM(脉冲宽度调制)输出功能。PWM输出在电机控制、开关电源、信号发生等领域有着广泛的应用。[第一段]-AVR C language portal and efficient design practice (6) ATMEAG16L the timer / counter All ATMEAG16
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:181404
    • 提供者:liming
  1. vhdlshiyan

    0下载:
  2. 本文为采用VHDL编写的程序及报告。步骤如下:1设计三位二进制计数器程序 二:设计一驱动循环显示7位数字 2编写LED控制程序如下: 3设计采用原理图方式如下: -VHDL paper prepared for the introduction of procedures and reports. Steps are as follows : Design of a binary counter three two procedures : Design of a drive
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2014-01-15
    • 文件大小:296936
    • 提供者:梁兵
  1. PN-arraycheck

    0下载:
  2. 在QuartusII运用AHDL语言,首先设计出PN发生器来产生一个11位的数据流在整个周期内有效数据有 =2047位;再设计状态机用来检测串行数据流中的序列。运用两个个计数器分别对PN码计数以及序列出现的次数计数。改变PN码结构可以作为通用数列检测器
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:385952
    • 提供者:戴振华
  1. door_ring

    0下载:
  2. /* 程序详细功能介绍: *当按下按键P3.3 ,AT89S51单片机产生“叮咚”声从P3.2端口输出 *程序设计方法 * 1. 我们用单片机实定时/计数器T0来产生700HZ和500HZ的频率,根据定时/计数器T0,我们取定时250us, * 因此,700HZ的频率要经过3次250us的定时,而500HZ的频率要经过4次250us的定时。 * 2. 在设计过程,只有当按下SP1之后,才启动T0开始工作,当T0工作完毕,回到最初状态。 * 3. “叮”和
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1334
    • 提供者:linyuande
  1. didi

    0下载:
  2. /* 程序详细功能介绍: * 用AT89S51单片机产生“嘀、嘀、…”报警声从P3.2端口输出,产生频率为1KHz, * 1KHZ方波从P3.2输出0.2秒,接着0.2秒从P3.2输出电平信号,如此循环下去,就形成我们所需的报警声了。 * * 程序设计方法 *(1.生活中我们常常到各种各样的报警声,例如“嘀、嘀、…”就是常见的一种声音报警声, * 但对于这种报警声,嘀0.2秒钟,然后断0.2秒钟,如此循环下去,假设嘀声的频率为1KHz, * 由于要产生
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1353
    • 提供者:linyuande
  1. code

    0下载:
  2. 设计一个可编程间隔定时器,完成8253的功能,实现以下几点要求: 1、 含有3个独立的16位计数器,能够进行3个16位的独立计数。 2、 每一种计数器具有六种工作模式。 3、 能进行二进制/十进制减法计数。 4、 可作定时器或计数器。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:6478
    • 提供者:于心亮
  1. dd

    0下载:
  2. 里用单片机、2个计数器以及D触发器对被测信号脉冲与标准信号脉冲利用单片机、2个计数器以及D触发器对被测信号脉冲与标准信号脉冲,同时计数,实现频率测量功能。在保证产品质量和提高产品功能的同时降低制作成本, 对产品进行简化设计。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:58871
    • 提供者:袁辉
  1. qqqqqqqqqq

    0下载:
  2. 里用单片机、2个计数器以及D触发器对被测信号脉冲与标准信号脉冲利用单片机、2个计数器以及D触发器对被测信号脉冲与标准信号脉冲,同时计数,实现频率测量功能。在保证产品质量和提高产品功能的同时降低制作成本, 对产品进行简化设计。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:3088
    • 提供者:袁辉
  1. fffffff

    0下载:
  2. 里用单片机、2个计数器以及D触发器对被测信号脉冲与标准信号脉冲利用单片机、2个计数器以及D触发器对被测信号脉冲与标准信号脉冲,同时计数,实现频率测量功能。在保证产品质量和提高产品功能的同时降低制作成本, 对产品进行简化设计。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:302214
    • 提供者:袁辉
  1. MCUDESIGN

    0下载:
  2. 一个单片机应用系统的硬件电路设计包含两部分内容:一是系统扩展,即单片机内部的功能单元,如ROM、RAM、I/O、定时器/计数器、中断系统等不能满足应用系统的要求时,必须在片外进行扩展,选择适当的芯片,设计相应的电路。二是系统的配置,即按照系统功能要求配置外围设备,如键盘、显示器、打印机、A/D、D/A转换器等,要设计合适的接口电路。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2014-01-16
    • 文件大小:3289
    • 提供者:smren
  1. SIMPLE_MCU_EXPERIMENT_BOARD

    0下载:
  2. 自制的单片机简单实验板原理图和PCB制板图,由PROTEL99设计;可以实现端口实验,定时器实验,计数器实验,中断实验,发声实验,串口通讯实验,I2C总线实验,SPI总线实验
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:534795
    • 提供者:洪光明
  1. Module=99ReversibilityCounter

    4下载:
  2. 设计功能及要求 设计M=99的十进制加/减可逆计数器 (1)接通电源时电路能自启动; (2)手动分别实现加、减计数和自动实现加减可逆计数; (3)用数码管显示计数数值。 (4)给定元件:74LS192、74LS00、74LS76、74LS48及LED。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:320758
    • 提供者:梁志明
  1. COUNT60.20

    0下载:
  2. 用vhdl语言实现模可变计数器的设计 这是学习VHDL语言的经典例子
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3050
    • 提供者:郭海东
  1. AT90S8535

    0下载:
  2. AVR单片机应用设计.pdf   本书以AT90S8535单片机为主线讲述AVR单片机。AT90S8535单片机是AVR单片机中内部接口丰富、功能比较全、性能价格比高的品种。  本书共分16章。第1章,单片机概述;第2章,8535单片机系统结构概况;第3章,AVR单片机指令系统;第4章,定点数运算程序设计及数制转换;第5章,浮点数运算程序设计;第6章,8535单片机 EEPROM读/写访问;第7章,8535单片机I/O端口及其应用;第8章,中断系统及应用;第9章,8535单片机定时器/计
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:7791179
    • 提供者:风无痕
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 32 »
搜珍网 www.dssz.com