CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 进制 计数器

搜索资源列表

  1. Timer

    0下载:
  2. 基于vhdl的电子时钟,其中包括六进制计数器和十进制计数器。-VHDL-based electronic clock, including six hexadecimal decimal counters and counters.
  3. 所属分类:Windows Kernel

    • 发布日期:2017-04-04
    • 文件大小:111348
    • 提供者:kathy
  1. cnt24_t

    0下载:
  2. 这是二十四进制计数器的源程序,有需要的同学可以参照一下!-This is 24 hexadecimal counter source, needy students can refer to you!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:48900
    • 提供者:逗号
  1. EDA

    0下载:
  2. 60进制计数器 序列检测器 适用于MAX PLUS2程序开发-60 hexadecimal counter sequence detector for MAX PLUS2 development
  3. 所属分类:Document

    • 发布日期:2017-04-12
    • 文件大小:995
    • 提供者:cross
  1. SHUZIMIAOBIAO

    0下载:
  2. 秒表的逻辑结构比较简单,它主要由、显示译码器、分频器、十进制计数器、报警器和六进制计数器组成。在整个秒表中最关键是如何获得一个精确的100Hz计时脉冲,除此之外,整个秒表还需要一个启动信号和一个归零信号,以便能够随时启动及停止。 秒表有六个输出显示,分别为百分之一秒,十分之一秒、秒、十秒、分、十分,所以共有6个计数器与之对应,6个个计数器全为BCD码输出,这样便于同时显示译码器的连接。当计时达60分钟后,蜂鸣器鸣响10声。 -Stopwatch logical structure is
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6156
    • 提供者:朱书洪
  1. jdj

    0下载:
  2. 60进制计数器是数字系统中常用的时序电路。尽供大家参考。-60 Counter-band digital systems are commonly used in sequential circuits. Do for your reference.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-26
    • 文件大小:148938
    • 提供者:伍家香
  1. m8

    0下载:
  2. 这是一个8分频的VHDL语言设计程序,也可以看成是8进制计数器-This is an 8-frequency design process of the VHDL language can also be seen as a hexadecimal counter 8
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:44865
    • 提供者:yigezi
  1. example3

    0下载:
  2. 加/减法8进制计数器,其中包括时钟信号、使能信号、加减控制信号、复位信号、三位输入和一位进位位。-Add/subtraction of 8-band counter, including the clock signal so that it can signal, addition and subtraction control signal, reset signal input and a three-bit binary.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:26049
    • 提供者:zzl
  1. Shuma

    0下载:
  2. 完整的电子钟程序,包含报时、定时、闹表的功能,其中包含了二十四进制,60进制计数器的设计,和顶层文件-Complete procedures for the electronic bell, including the time, from time to time, to make the function table, which contains 24 hexadecimal, 60 hexadecimal counter design, and top-level document
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:908104
    • 提供者:李坤鹏
  1. code

    0下载:
  2. modelsim下的60进制计数器源码和测试激励文件-modelsim M counter 60 under the source file and test incentives
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:3427
    • 提供者:李凯
  1. clock_counter_vhdl

    0下载:
  2. 电子时钟VHDL程序与仿真,10进制计数器VHDL程序-clock counter vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:61062
    • 提供者:jz
  1. example3

    0下载:
  2. Example3 加/减法计数器 本例程实现的是一个加/减8 进制计数器。其中包括时钟输入、使能信号、加减控制信 号、复位信号、三位输入和一位进位位。 -Example3 add/subtract counter implementation of this routine is a plus/minus 8 binary counter. These include the clock input enable signal, addition and subtractio
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-27
    • 文件大小:26062
    • 提供者:汤化锋
  1. counter_5_reversible

    0下载:
  2. 带置位的同步可逆(加1或减1)5进制计数器。-Reversible synchronous with the set (plus one or minus 1) 5 binary counter.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-01
    • 文件大小:329038
    • 提供者:lzj
  1. example3

    0下载:
  2. 实现一个加/减8进制计数器。其中包括时钟输入、使能信号、加减控制信 号、复位信号、三位输入和一位进位位。-To achieve a plus/minus 8 binary counter. These include the clock input enable signal, addition and subtraction control signals, reset signals, three inputs and a carry bit.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:32374
    • 提供者:panda
  1. cnt6

    0下载:
  2. vhdl,无进位同步计数器,完成6进制加,输出6进制序列数-vhdl, non-binary synchronous counter to complete the six binary Canada, output 6, the number of binary sequences
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:37680
    • 提供者:王晓虎
  1. 2222

    0下载:
  2. 16进制译码显示 计数 译码显示 异步16进制计数器-16进制译码显示 计数 译码显示 异步16进制计数器
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-10
    • 文件大小:1056
    • 提供者:
  1. clk_div16

    0下载:
  2. 一个用VHDL语言编写的1/16分频器,后续还有计数器、数据选择器、七段数码显示程序等软件平台是Quartus II 7.2 ,最后通过这些小的模块可以组合起来制作出一个时钟或者其它的任意进制计数器,适合初学者,通过这些程序,刚接触VHDL的学习者可以一步步的去认识和了解VHDL,最后通过设计一个具有实用功能的电路,来增加学习者的成就感和学习兴趣。所有程序软硬件调试都成功通过,硬件平台是自己学校设计的一块开发板,要了解的可以联系本人。联系QQ:782649157 -Written in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:226059
    • 提供者:QQ
  1. display

    0下载:
  2. 一个用VHDL语言编写的七段数码管显示程序,后续还有分频器、数据选择器、计数器程序等软件平台是Quartus II 7.2 ,最后通过这些小的模块可以组合起来制作出一个时钟或者其它的任意进制计数器,适合初学者,通过这些程序,刚接触VHDL的学习者可以一步步的去认识和了解VHDL,最后通过设计一个具有实用功能的电路,来增加学习者的成就感和学习兴趣。所有程序软硬件调试都成功通过,硬件平台是自己学校设计的一块开发板,要了解的可以联系本人。联系QQ:782649157 -Written in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:234240
    • 提供者:QQ
  1. count100

    1下载:
  2. 一个用VHDL语言编写的一百进制计数器。软件平台是Quartus II 7.2 ,由前面设计的小模块组合起来制作的,适合初学者,通过这些程序,刚接触VHDL的学习者可以一步步的去认识和了解VHDL,最后通过设计一个具有实用功能的电路,来增加学习者的成就感和学习兴趣。所有程序软硬件调试都成功通过,硬件平台是自己学校设计的一块开发板,要了解的可以联系本人。联系QQ:782649157 -Written in VHDL language using a binary counter 100. The
  3. 所属分类:VHDL编程

    • 发布日期:2017-06-29
    • 文件大小:322598
    • 提供者:QQ
  1. multiple_use_clock

    0下载:
  2. 以数字形式显示时、分、秒时间,十位、个位备用一个数码管显示,分秒为一个60进制计数器,小时为一个“十二翻一”计数器 扩展功能:闹钟、仿电子钟报时、触摸报整点时数和自动报整点时数-clock
  3. 所属分类:SCM

    • 发布日期:2017-05-18
    • 文件大小:4901888
    • 提供者:曾令睿
  1. paomadenghe60jinzhi

    0下载:
  2. 一个用VHDL编写的跑马灯程序和60进制计数器的程序,一个是自己设计的一个是老师要求,都在实验箱上验证成功,希望对大家有所帮助。-Marquee with a program written in VHDL, and 60 binary counter program, one designed by one teacher asked, are in the experimental boxes proved to be successful, want to help everyone.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:256629
    • 提供者:zhangliang
« 1 2 34 5 6 7 8 9 10 »
搜珍网 www.dssz.com