CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 进制 计数器

搜索资源列表

  1. counter10

    0下载:
  2. 该程序实现的是10进制的计数器,具有置位复位的功能。-the program is the band of 10 counters, with the home-reset function.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:13298
    • 提供者:许嘉璐
  1. check_sum

    0下载:
  2. 计算校验和 校验和算法描述:为保证网络上传输的数据的可靠性,在许多协议中都设置了校验和项,例如:IPv4、ICMPv4、IGMPV4、ICMPv6、UDP和TCP 等等。计算这些校验和的算法称为网际校验和算法,简单来说就是:把被校验的数据16位进行累加,然后取反码,若数据字节长度为奇数,则数据尾部补一个字节的0以凑成偶数。 由于从输入文件读入的数据不能直接满足计算校验和的条件,所以首先对从文件读入缓冲区的数据进行预处理,即读入缓冲区时忽略空格。由于累加是按16位进行的,所以每次从缓冲
  3. 所属分类:网络编程

    • 发布日期:2008-10-13
    • 文件大小:88943
    • 提供者:阿蒙
  1. 2460100Time

    0下载:
  2. 24,60,100进制的计数器,还有数字时钟,欢迎下载哦~-24,60,100 229 of the counter, digital clock also welcome to download oh ~
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2118
    • 提供者:张春
  1. 100_jishuqi

    1下载:
  2. 该代码是100进制可逆计数器的源代码,已经在软件上调试过了,比较有用的-100 of the code is 229 CNTR the source code, the software has increased tried, the more useful
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:17612
    • 提供者:yuxyoo
  1. mcu_51_example_programmers

    1下载:
  2. C51实例代码:FLASH驱动,IIC,usart,触摸液晶控制显示,电热壶烧水程序,定点滤波,定时器及计数器的生成,二分法查表,浮点滤波,浮点滤波,控制SED1335的液晶显示,软件看门狗,十六进制_BCD码相互转换,时间倒计时,实时时钟,数字时钟,温度芯片驱动,无线数据传输,以太网芯片-C51 code examples : Flash-driven, IIC, usart, touch control LCD, Electric pot boiling water procedure, s
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:311938
    • 提供者:Jawen
  1. NumClock

    0下载:
  2. 基于Altera公司系列FPGA(Cyclone EP1C3T144C8)、Verilog HDL、MAX7219数码管显示芯片、4X4矩阵键盘、TDA2822功放芯片及扬声器等实现了《电子线路设计• 测试• 实验》课程中多功能数字钟实验所要求的所有功能和其它一些扩展功能。包括:基本功能——以数字形式显示时、分、秒的时间,小时计数器为同步24进制,可手动校时、校分;扩展功能——仿广播电台正点报时,任意时刻闹钟(选做),自动报整点时数(选做);其它扩展功能——显示年月日(能处理
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:23375
    • 提供者:田世坤
  1. JTD

    0下载:
  2. 带左拐的交通灯设计与25进制的加法计数器,Maxplus2软件中的Verilog语言编写-Neunggok with the design of traffic lights at 229 with the addition of 25 counters, simulated software Verilog language
  3. 所属分类:Linux/Unix编程

    • 发布日期:2008-10-13
    • 文件大小:1894
    • 提供者:hujianj
  1. dpjjx

    0下载:
  2. 把所有端口的同时置高置低,不断闪烁 2 p1 口3 路流水灯理解2 进制数与端口的关系 3 单片机的加法:把52h+0fch 结果送p1 口 4 单片机的乘法:把ff*03h 结果送p1 5 单片机的二进制加法 6 单片机的两位计数器 7 学习单片机的逻辑运算 8 进一步学习单片机的逻辑运算 9 循环移位指令的流水灯 10 理解熟悉散转结构的程序 11 位操作指令的学习 12 比较指令的学习与cy位
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1533428
    • 提供者:西岭雪
  1. cnt100

    0下载:
  2. 一百进制计数器,采用层次化设计,底层文件为十进制计数器,顶层文件原理图设计-the procedure is based on vhdl,it can count 100,and use top-down
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:682005
    • 提供者:niuniu
  1. 21452547

    0下载:
  2. 加减可控制的十到十六进制计数器。完全准确,可以放心使用的-Add and subtract controllable ten to hexadecimal counter. Entirely accurate, can be at ease of use
  3. 所属分类:assembly language

    • 发布日期:2017-04-30
    • 文件大小:353168
    • 提供者:士亚菲
  1. seller_moore

    0下载:
  2. 用Verilog实现十六进制计数器。内含有整个完整工程。包括tb文件。-realiaztion of timer16 using verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:42362
    • 提供者:朴巍
  1. timer16

    0下载:
  2. 十六进制计数器的的Verilog实现。内有整个工程,包括tb文件。仿真可通过-realizaiton of timer16
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:37177
    • 提供者:朴巍
  1. CNT12

    0下载:
  2. 通过一个简单完整而典型的12进制计数器的VHDL设计实例,来使大家初步了解用VHDL表达以及由此而引出的VHDL语言现象和语句规则。 让大家能够迅速的从整体上把握VHDL程序的基本结构和设计特点,达到快速入门的目的。 -Through a simple and complete and typical 12-band counter VHDL design examples, to make preliminary understanding of VHDL expression and the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:34158
    • 提供者:XINGJINGYU
  1. count6

    0下载:
  2. 本科课程设计 六进制计数器,带电路原理图,编译已通过。-Undergraduate course design- six decimal counter.Circuit diagram with the compiler has been adopted.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-14
    • 文件大小:542671
    • 提供者:耀敬
  1. kebianjishuqi

    0下载:
  2. Verilg HDL语言编写实现进制计数器切换,包括模9、模6、模4、和模8加法计数器,通过按键输入,消抖,数码管显示。开发环境:ISE14.7-Verilg HDL language to achieve binary counter switch, including die 9, die 6, die 4, and die 8 adder counter, through the key input, eliminate jitter, digital display. Developme
  3. 所属分类:Other systems

    • 发布日期:2017-12-14
    • 文件大小:1941504
    • 提供者:王春城
  1. Johnaon_counter

    0下载:
  2. 本设计为六位约翰逊(Johnson)计数器,首先给大家介绍一下什么是约翰逊计数器,它又称扭环计数器,是一种用n位触发器来表示2n个状态的计数器。它与环形计数器不同,后者用n位触发器仅可表示n个状态。2~n进制计数器(n为触发器的个数)有2~n个状态。若以6位二进制计数器为例,它可表示64个状态。但由于8421码每组代码之间可能有二位或二位以上的二进制代码发生改变,这在计数器中特别是异步计数器中就有可能产生错误的译码信号,从而造成永久性的错误。而约翰逊计数器的状态表中,相邻两组代码只可能有一位二进
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-12
    • 文件大小:6299
    • 提供者:Leegege
  1. matlab数字时钟

    0下载:
  2. matlab数字时钟,设计一个能显示日期、小时、分钟、秒的数字电子钟,并具有整点报时的功能。 由晶振电路产生1HZ标准的信号。分、秒为六十进制计数器,时为二十四进制计数器。 可手动校正时、分时间和日期值。(Matlab digital clock, the design of a display date, hour, minute, second digital electronic clock, and with the whole point timekeeping function. T
  3. 所属分类:通讯编程

    • 发布日期:2017-12-27
    • 文件大小:1436672
    • 提供者:小蛋008
  1. baduanshumaguan

    0下载:
  2. 用VHDL语言设计并实现一电路,其功能是8个数码管分别显示数字0-7。首先是数码管0显示0,其他数码管不显示;然后是数码管1显示1,其他数码管不显示;依此类推,数码管7显示完后再显示数码管0,这样循环下去。(提示:数字0-7的循环可以使用8进制计数器对1Hz的时钟信号进行计数得到,计数器的输出送到BCD到七段数码管的译码器,由其驱动数码管显示相应的数字。)(Using VHDL language to design and implement a circuit, its function is
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-03
    • 文件大小:110592
    • 提供者:一个人丶
  1. LED_DISP

    0下载:
  2. 输入时钟4MHz,分频至1Hz,对时钟计数,LED显示输出,加使能EN和复位RST(Input clock 4MHz, frequency division to 1Hz, clock count, LED display output, add enable EN and reset RST)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:1586176
    • 提供者:MmDawN
  1. plj

    1下载:
  2. 使用vhdl语言原件例化设计数字频率计,并用6位7段数码管计数。模块包括:十进制计数器,6位10进制计数器,Reg24 锁存器、Fp 分频器、Ctrl 频率控制器、Disp 动态显示。(The digital frequency meter is designed by using VHDL language as an example and counted by 6-bit 7-segment digital tube. Modules include: decimal counter, 6
  3. 所属分类:其他

    • 发布日期:2019-12-13
    • 文件大小:11264
    • 提供者:贵阳余文乐
« 1 2 3 4 5 6 7 89 10 11 12 »
搜珍网 www.dssz.com