CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - .vhd

搜索资源列表

  1. std_logic_1164

    0下载:
  2. 这个包定义了vhdl标准,为设计者在使用数据类型时建立用于vhdl的互连模型。-This packages defines a standard for designers to use in describing the interconnection data types used in vhdl modeling.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:5712
    • 提供者:heyan12121
  1. Modulo.vhd

    0下载:
  2. modulo 2 adder using for some DSP applications
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1076
    • 提供者:sandeep
  1. grlib

    0下载:
  2. gaisler lib. Format .vhd
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:150200
    • 提供者:Vovka
  1. usb.vhd

    0下载:
  2. 用FPGA模拟USB功能,采用VHDL语言编写,代码完善编译通过-USB functionality using FPGA simulation using VHDL language, compile the code complete
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:251753
    • 提供者:张小琛
  1. simpleLed.vhd

    0下载:
  2. LED BLINK TEST for FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:587
    • 提供者:Ammar
  1. delay

    0下载:
  2. 短小易用的时序延迟程序,适用于Xilinx公司的FPGA产品-delay.vhd for Xilinx FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:574
    • 提供者:xhnhd
  1. VHD

    0下载:
  2. RS编码中用到的交织和去交织程序,VHDL描述,交织深度8-nterlace with VHDL,depth is 8
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:2675
    • 提供者:xhnhd
  1. AD7656

    0下载:
  2. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:309923
    • 提供者:王玉强
  1. freqtest_dec

    0下载:
  2. 用VHDL设计了一个频率计,给出了各模块的详细源码,并给出了注解,对初学者及课程设计有帮助。-VHD designed with a frequency counter, gives the details of each module source code, and gives notes on programs designed for beginners and helpful.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:504512
    • 提供者:张三
  1. color_converter_latest.tar

    0下载:
  2. The main purpose of the core is a color transform tasks such as CIE XYZ<->RGB, different RGB<->RGB and RGB<->YCbCr operations. The main part of color conversions from one to another color system concludes in 3x3 matrix multiplicatio
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:338734
    • 提供者:Ning
  1. chufaqi

    0下载:
  2. 四位除法器:使用vhd实现四位的有符号除法器-Four division: Use vhd signed to achieve four of the divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:535
    • 提供者:GOODSS
  1. FPGA_parallel_serial_conversion

    0下载:
  2. FPGA的并行串行转换实例,两个.vhd文件-FPGA parallel serial conversion instance, two. Vhd files
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1571
    • 提供者:先锋
  1. bin2bcd

    0下载:
  2. 用来将二进制的信号转化成BCD码形式的信号,用来在数码管上显示相应的数字。-To the binary signal into BCD code in the form of signals, used in the digital display the corresponding number.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:252502
    • 提供者:da
  1. VHDLSaler

    0下载:
  2. 文件名:pl_auto1.vhd。 --功能:货物信息存储,进程控制,硬币处理,余额计算,显示等功能。 --说明:显示的钱数coin的 以5角为单位。-library ieee use ieee.std_logic_arith.all use ieee.std_logic_1164.all use ieee.std_logic_unsigned.all entity PL_auto1 is port ( clk:in std_logic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:6175
    • 提供者:Mr zhai
  1. URAT_VHDL_procedures_and_simulation

    0下载:
  2. URAT VHDL程序与仿真。 1. 顶层程序与仿真 (1)顶层程序 --文件名:top.vhd。 --功能:顶层映射。 --最后修改日期:2004.3.24。-URAT VHDL procedures and simulation. 1. Top-level program and Simulation (1) top-level program- the file name: top.vhd.- Features: top-level mapping.- Last mod
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:33900
    • 提供者:卫亮
  1. VHDL

    0下载:
  2. VHDL數字控制系統設計範例所有章節的VHD範例源碼-VHDL digital control system design examples for all sections of the sample source VHD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:48194
    • 提供者:陳健源
  1. lcd_driver_projectComplete

    0下载:
  2. LCD CONTROLLER -- File name : lcd_driver.vhd -- -- Project : EE367 - Logic Design (Spring 2007) -- LCD Driver -- -- Descr iption : VHDL model LCD Controller Using State Machines -- -- Author(s) : Clint Gauer -- Montana State Univers
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:2775
    • 提供者:eng
  1. QuartusII_shuoming

    0下载:
  2. QuartusII简易操作说明 VHDL 仿真器 利用Quartus II 产生.VHO 和.SDO利用在sim_lib 目录中的APEX20K_ATOMs.VHD 和 APEX20K_COMPONENTS.VHD 文件 Verilog 仿真器 -QuartusII VHDL simulator simple instructions generated by Quartus II. VHO and. SDO use in sim_lib directory APEX20K_
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:845313
    • 提供者:wenjian
  1. BtoGray.vhd

    0下载:
  2. Binary to gray converter in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:804
    • 提供者:Mitchell
  1. clock_divider.vhd

    0下载:
  2. A generic clock divider described in VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:600
    • 提供者:Marcos
« 1 2 ... 6 7 8 9 10 1112 13 14 15 16 ... 20 »
搜珍网 www.dssz.com