CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - .vhd

搜索资源列表

  1. 6soft_247MHz_channel

    0下载:
  2. lte上行信道解交织解复用: RTL: ack_addr_gen.vhd ack地址产生 data_addr_gen.vhd 数据地址产生 de_interl_mux_con_ctrl.vhd 控制单元 de_interl_mux_con_top.vhd 顶层 de_interl_mux_con_tt.vhd 测试平台 de_mux_ram.vhd ram deinterl_pack.vhd 变量定义 delay.vhd 延迟 delayb.vhd 延迟
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:200642
    • 提供者:renliang
  1. cnt10.vhd

    0下载:
  2. 设计一个10进制同步计数器,带一个清零端,一个进位输出端。(如果改成六进制,应该如何修改程序) 计数器分为同步计数器和异步计数器两种,是典型的时序电路,分析计数器就能更好的了解时序电路的特性。所谓同步计数器,就是在时钟脉冲的控制下,构成计数器的各触发器同时发生变化的那一类计数器。异步计数器又称行波计数器,它的下一位计数器的输出作为上一位计数器的时钟信号,这样一级一级串接起来就构成了一个异步计数器。异步计数器与同步计数器不同之处就在于时钟脉冲的提供方式,但是,由于异步计数器采用行波计数,从
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1054
    • 提供者:chenguoxian
  1. VHD

    0下载:
  2. 此为基于Xilinx的FPGA用VHDL实现的FIFO,已调通,可直接运行。-This is based on Xilinx FPGA using VHDL implementation of the FIFO, has been transferred through, can be directly run.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:287582
    • 提供者:fafa
  1. CONTROLLER.vhd

    0下载:
  2. Controller source code for double data rate sdram1
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1473
    • 提供者:shiva
  1. SIGNAL-GENERATION.vhd

    0下载:
  2. Signal generation for double data rate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1743
    • 提供者:shiva
  1. INIT-AND-CMD-FSM.vhd

    0下载:
  2. INItialization and command for double data rate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:3295
    • 提供者:shiva
  1. TEST-BENCH.vhd

    0下载:
  2. test bench for ddr 1
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1584
    • 提供者:shiva
  1. DATA-PATH.vhd

    0下载:
  2. signal data for ddr sdram
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1715
    • 提供者:shiva
  1. Binary_Multiplier_Binary_Multiplier1.vhd

    0下载:
  2. its vhdl proggrame for binary multiplication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:852
    • 提供者:sreenivasulu
  1. qww

    0下载:
  2. DAC0832 接口电路程序,这都是源程序,如果有需要用VHD的文件可联系我-DAC0832 interface circuit procedure, this is the source, if there is a need to use the VHD file can contact me
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:700
    • 提供者:周三强
  1. lfsr

    0下载:
  2. lfsr.vhd - The top module in the project. lfsr_pkg.vhd - The package file used for supporting the lfsr top module. lfsr_tb - A testbench code for lfsr module. manual.pdf - A short documentation on this project. README.txt - A short descr i
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:115264
    • 提供者:tmanev
  1. JKF.vhd

    0下载:
  2. pulse framing circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:702
    • 提供者:vicky
  1. servomat

    0下载:
  2. antidad_a EQU s0 talto EQU s1 Rename register sX with <name> tbajo EQU s2 indicador EQU s3 cantidad_b EQU S4 Define constant <name>, assign value name ROM output file generated by pBlazIDE assembler VHDL "ROM_form.vhd", "ser
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1057345
    • 提供者:Jorge
  1. vhdl-ad9910

    1下载:
  2. ad9910 DDS板 VHDL源代码,在Cyclone II FPGA上调试通过,主要文件说明: Filename Function ----------------------------------------------------- dds_controller.vhd top entity, opcode decoding ddslib.vhd configuration,opcode definition dds_serial.vhd parallel to s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:92835
    • 提供者:bin
  1. 74ls160

    0下载:
  2. 这是一个使用vhdl语言编写的74LS160计数器,具有同步置位,异步清零的功能。-This is a use vhdl language 74LS160 counter with synchronous set, asynchronous clear function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:38691
    • 提供者:
  1. traffic_light

    0下载:
  2. traffic_light VHD交通灯控制-traffic_light(control) (Entity and Architectures)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:36375
    • 提供者:lenka777
  1. 7z922

    0下载:
  2. 7-zip是个文件压缩解压程序,支持7z, XZ, BZIP2, GZIP, TAR, ZIP, WIM, ARJ, CAB, CHM, CPIO, CramFS, DEB, DMG, FAT, HFS, ISO, LZH, LZMA, MBR, MSI, NSIS, NTFS, RAR, RPM, SquashFS, UDF, VHD, WIM, XAR-7-Zip is a file archiver with the high compression ratio. The program
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-05-04
    • 文件大小:1416131
    • 提供者:book
  1. TD1_11

    0下载:
  2. add soubstraction td 1 VHD L SCHOOL HOME WORK EASY NOT DIFFICULT ZIP RAR GZ-add soubstraction td 1 VHD VHDL SCHOOL HOME WORK EASY NOT DIFFICULT ZIP RAR GZ
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:696
    • 提供者:domino
  1. spi_cpld_vhdl

    0下载:
  2. The CoolRunner-II "Confuguring Xilinx FPGAs with SPI Flash Memories using CoolRunner-II CPLDs" reference design is based upon the STMicroelectronics SPI Flash memory M25P20. This design can be easily modified to support other families of S
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:441718
    • 提供者:vijendra pal
  1. smartcard_vhdl

    0下载:
  2. Readme File for Smart Card Reader File Contents ************************************************************************* This zip file contains the following files: -- VHDL Source Files in Smartcard: Top.vhd - top level file for Pic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:527593
    • 提供者:vijendra pal
« 1 2 ... 8 9 10 11 12 1314 15 16 17 18 19 20 »
搜珍网 www.dssz.com