CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 1111

搜索资源列表

  1. 1111

    0下载:
  2. 迷宫求解!本人从其他人那里引用的,测试成功-Solving the maze! I quoted from others, testing the success of
  3. 所属分类:Windows Kernel

    • 发布日期:2017-04-15
    • 文件大小:7198
    • 提供者:王赟缘
  1. 1111

    0下载:
  2. 三层架构实例源代码,高级进阶必看。学习深入ASP。NET的好-Three-tier source code examples, senior advanced must-see. To learn in-depth ASP. NET good Dongdong
  3. 所属分类:WEB(ASP,PHP,...)

    • 发布日期:2017-04-17
    • 文件大小:91501
    • 提供者:王海
  1. a

    0下载:
  2. VC读取TXT文件数据,数据在1111.txt里-VC to read TXT file data, where data 1111.txt
  3. 所属分类:File Operate

    • 发布日期:2017-05-15
    • 文件大小:3782067
    • 提供者:xielonglong
  1. 1111

    0下载:
  2. 电磁炉源码,松翰单片机做主控芯片 doc格式-diancilu
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:6973
    • 提供者:xia shuang
  1. 1111

    0下载:
  2. ARM的硬件实验,包括中断,led灯,-ARM' s hardware experiments, including the interruption, led lights, and so on
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-19
    • 文件大小:5240832
    • 提供者:SHIT
  1. 1111

    0下载:
  2. 量子进化算法和免疫算法都是解决优化问题的强有力算法。在分析了量子进化算法搜索的特点和免疫算法的机理基础上,对它们进行比较,阐明了二者的不同特点-Quantum-inspired evolutionary algorithms and immune algorithms are a powerful algorithm to solve optimization problems. After analyzing the characteristics of the quantum evoluti
  3. 所属分类:Algorithm

    • 发布日期:2017-04-09
    • 文件大小:825806
    • 提供者:daijiang
  1. AddToToolBar

    0下载:
  2. 个人的一点小收获1111呵呵 共享下,希望能够批准-Of individuals sharing a small harvest 1111 Hehe, it is hoped to ratify the
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-05
    • 文件大小:36922
    • 提供者:李卓
  1. 1111

    0下载:
  2. 适合MATLAB自学。研究智能控制使用。便于进行电机仿真-无
  3. 所属分类:matlab

    • 发布日期:2017-04-06
    • 文件大小:6060
    • 提供者:张骅
  1. 1111

    0下载:
  2. JM程序的编码流程图,图有点大,可能看起来比较费劲-JM program code flow chart, figure a bit large, may seem a hard time
  3. 所属分类:Other systems

    • 发布日期:2017-05-02
    • 文件大小:967987
    • 提供者:严云
  1. 1111

    0下载:
  2. 这是javascr ipt写的小例子。特效文字不间断滚动-This is a small example written in javascr ipt. Continuous scrolling text effects
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-07
    • 文件大小:1301
    • 提供者:lovey
  1. 6

    0下载:
  2. 0 程序测试很成功,修改设置,上传即可使用! 1 网站管理用户名1111和密码是1111,留言本管理也是dd84密码dd84可以登陆管理后更改的http://****/admin 2 系统在源程序基础上添加了审核程序,链入链出排行,待审,最新加入,防刷程序,有1个链入自动通过审核,节省站长时间 ! 站长进入后台后可以看到多种数据! 3 留言本数据库中idss为系统产生的随机数,也是你管理的依据,请不要告诉别人,只要你每次管理都手工登陆而不是从书签进入的话,这个全性是足够的(每次登陆
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-05
    • 文件大小:299222
    • 提供者:孙先生
  1. VHDLdigital

    0下载:
  2. 7段数码管译码器设计与实现 一.实验目的 1. 掌握7段数码管译码器的设计与实现 2. 掌握模块化的设计方法 二.实验内容 设计一个7段数码管译码器,带数码管的4位可逆计数器 [具体要求] 1. 7段数码管译码器 使用拨码开关SW3, SW2, SW1, SW0作为输入,SW3为高位,SW0为低位。 将输出的结果在HEX1,HEX0显示。当输入为‘0000’~‘1111’显示为00~15, 2. 带数码管的4位可逆计数器 将实验三的结果
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:89060
    • 提供者:爱好
  1. 1111

    0下载:
  2. 时钟程序 很好的 分享一下的 请大家指教 谢谢了-good
  3. 所属分类:CSharp

    • 发布日期:2017-04-14
    • 文件大小:3209
    • 提供者:安强
  1. search_for_substring

    0下载:
  2. 此程序用于搜索从ES:1000开始的长度为100H的区域,是否存在给定的子字符串,其中,子字符串存放在以DS:1100开始的区域中,分别用DI,SI,指向它们。如果搜索到,在DS:1111处填写44(“是”的谐音),找不到,则填写55(“无”的谐音)。在本程序中,DS与ES相同。在本程序中,我们假设要搜索的子字符串的长度为3,字符可以自行设定。当然,可以改变BX来改变搜索的子字符串的长度-This program used to search from ES: 1000 100H length
  3. 所属分类:Search Engine

    • 发布日期:2017-03-29
    • 文件大小:59056
    • 提供者:yangxiao
  1. 1111

    0下载:
  2. 能实现最简单的LED动态扫描123456的过程!为初学者提供基础程序!-LED to achieve the simplest process of dynamic scanning 123456! Provide the basis for the beginner process!
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:639
    • 提供者:nacy
  1. 1111

    0下载:
  2. 实习报告,是基于项目管理的毕业设计的报告-bus
  3. 所属分类:CSharp

    • 发布日期:2017-04-08
    • 文件大小:7838
    • 提供者:wj
  1. DF2C8_02_Key_SW_LED

    0下载:
  2. 1:按下复位按键,四个 LED 熄灭    2:如果拨码开关全部为 OFF 状态(输入 1111) ,四个 LED 从左到右依次点亮(跑马灯 效果) ,周而复始;    3:如果拨码开关不全为 OFF 状态(输入 0000~1110) ,四个 LED从左到右依次点亮(跑 马灯效果) ,周而复始;    4:如果按下四个轻触按键中的任意一个,LED 将全部点亮,放开按键后 LED 将恢复到 左移或右移操作,但移位操作的计
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:343999
    • 提供者:qiutian
  1. RISC_CPU

    0下载:
  2. Verilog写的简单处理器QuartusII下可编译 //指令 操作码 源寄存器 目的寄存器 操作 // NOP 0000 xxxxx xxxxxx 空操作 //ADD 0001 src dest dest<=src+dest //SUB 0010 src dest dest<=dest-src //AND 0011 src dest dest<=src&&dest //NOT 0100 src dest dest<
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:329001
    • 提供者:魏文沫
  1. 1111

    0下载:
  2. stc51单片机控制1602液晶显示程序-stc51 1602 LCD MCU program
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-20
    • 文件大小:6085359
    • 提供者:张松申
  1. 1111

    0下载:
  2. [HeyJava][eoeandroid]Google Android开发入门与实战代码-[HeyJava] [eoeandroid] Google Android development started with the actual code
  3. 所属分类:JavaScript

    • 发布日期:2017-05-22
    • 文件大小:6411534
    • 提供者:pq
« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 ... 31 »
搜珍网 www.dssz.com