CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 64点

搜索资源列表

  1. luojifenxiyi

    0下载:
  2. 逻辑分析仪是利用时钟从测试设备上采集和显示数字信号的仪器,最主要作用在于时序判定。由于逻辑分析仪不像示波器那样有许多电压等级,通常只显示两个电压(逻辑1和0),因此设定了参考电压后,逻辑分析仪将被测信号通过比较器进行判定,高于参考电压者为High,低于参考电压者为Low,在High与 Low之间形成数字波形。例如:一个待测信号使用200MHz采样率的逻辑分析仪,当参考电压设定为1.5V时,在测量时逻辑分析仪就会平均每5ns采取一个点,超过1.5V者为High(逻辑1),低于1.5V者为Low(逻
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-03
    • 文件大小:124927
    • 提供者:zhaolipo
  1. FLY8000

    0下载:
  2. 芯片特点及应用领域 CC1100 芯片特性(功能丰富,灵活性强,强大 WOR 功能): (1) 315、433、868、915Mh 的 ISM 和 SRD 频段; (2)最高工作速率 500kbps,支持 2-FSK、GFSK 和 MSK 调 制方式,选用外置 433MHz天线,空旷通讯距离可达250- 300米左右,降低通信波特率距离更远,我公司也提供高 精度参数 RF1100SE 模块,性能更佳,室内通信仍有良 好通信效果,3-6 层可实现可靠通信,抗干扰
  3. 所属分类:SCM

    • 发布日期:2017-03-22
    • 文件大小:534938
    • 提供者:hyqzh
  1. RF1100SE

    0下载:
  2. 1) 433MHZ免费ISM频段免许可证使用 (2) 最高工作速率500kbps,支持2-FSK、GFSK和MSK调制方式 (3) 可软件修改波特率参数: 高波特率:更快的数据传输速率 低波特率:更强的抗干扰性和穿透能力 更好地满足客户在不同条件下的使用要求。 (4) 高灵敏度(1.2kbps下-110dDm,1%数据包误码率) (5) 内置硬件CRC 检错和点对多点通信地址控制 (6) 较低的电流消耗(RX中,15.6mA,2.4kbps,433
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:368557
    • 提供者:hyqzh
  1. SPI_LCD12864

    0下载:
  2. spi接口协议的点整液晶128*64点阵驱动实现-spi interface protocol point of the entire 128* 64 dot matrix LCD driver to achieve
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-27
    • 文件大小:184186
    • 提供者:李宗军
  1. VCWinSockProgramming

    0下载:
  2. 1. WinSock学习笔记(一) 2 2. WinSock学习笔记(二) 11 3. 使用CSockets进行文件传送 17 4. 基于UDP的网络通迅程序 19 5. 点对点多线程断点续传的实现 22 6. Windows Socket 网络编程(一) 34 7. Windows Socket 网络编程(二) 36 8. Windows Sockets 网络编程(三) 40 9. TCP实现P2P通信(TCP穿越NAT/TCP打洞) 44 10. 深入 C
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-28
    • 文件大小:190762
    • 提供者:netdavid
  1. chatclient

    0下载:
  2. 点对点通信的聊天程序 服务器端 功能: 1)自定义服务器端口。 2)显示客户端聊天信息。 3)转发客户端聊天信息。 4)即时显示在线客户端的IP地址。 5)读取聊天记录。 客户端 功能: 1)自行设置连接的服务器IP。 2)自行设置端口。 3)发送聊天信息。 4)接受服务器的转发的聊天聊天信息。 5)即时显示在聊天室的客户端的IP地址。 6)读取聊天记录。 性能 服务器端 性能: 1)同时在线人数可以达到64
  3. 所属分类:WinSock-NDIS

    • 发布日期:2017-05-15
    • 文件大小:4095578
    • 提供者:yanglu
  1. debugprogram

    1下载:
  2. 这个程序(omni.com)是97年的Mekka ’97 4K Intro比赛的一等奖作品,也是我认为最好的4K作品之一。整个程序全长4095字节,其中包含133字节的自解压程序(类RAR压缩),未解压的程序长4782字节。三维场景包含144个立方体,367个面,362个点,15个不同的64*64 的纹理…… 观看方法: 开始菜单->运行->debug。然后把下面这一堆文本整个粘贴(点击左上角图标,编辑-》粘 贴) 进去,如果你有标准的Sound Blaste
  3. 所属分类:OpenGL program

    • 发布日期:2017-03-29
    • 文件大小:6584
    • 提供者:liuguangliang
  1. rom

    0下载:
  2. 64采样点的正弦表存储区。外接地址可以输出正弦信号采样点经过二进制补码转换后的幅度值。-sine table by 64 samples in VHDL.
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:222485
    • 提供者:nancy
  1. OFDM

    1下载:
  2. 该模型实现了一个通用的OFDM TX和RX,这是不依赖于任何特定的行业标准。该模型的目标是开发和研究不断(不是“突发”)载波同步和定时跟踪方案。该技术纳入然后可以扩展和修改,以标准的具体通讯套件。该模型采用了“收购”技术,它利用了循环前缀相关属性,以赶在试图完成罚款跟踪算法一帧的边界粗略的估计。该模型实现了一个16点64循环前缀的OFDM载波波形。在OFDM符号有16个飞行员,3后卫音(0,带边幅),并使用45 QAM的剩余数据流4。 这包括最新型的衰落信道,误码率计算,并
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-24
    • 文件大小:293983
    • 提供者:zaaa
  1. 8w64fb

    0下载:
  2. 8位64个采样点的方波发生信号器。基于PFGA的采用PLL模块实现功能-8 of 64 samples of signal square wave device. The use of PLL-based PFGA module function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:534731
    • 提供者:王嘉威
  1. splc501use

    0下载:
  2. 基于MSP430的液晶驱动程序。液晶型号为SPLC501. 驱动程序包含画点函数,清屏函数,写汉字函数等。-The programs based on MSP430 for 128*64 LCD
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:2487
    • 提供者:fuchunlin
  1. 12864

    0下载:
  2. 运用51单片机点亮128×64 LCD液晶,显示文字、数据和图片。可以根据自己的需要修改,设置。-Light using 51 128 × 64 LCD MCU LCD, display text, data and pictures. Can be modified according to their needs, set.
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:39704
    • 提供者:遇客
  1. meierdaopufadematlabshixian

    0下载:
  2. 对录音信号集 中的某一语音,利用BATLAB设计一美尔例谱算法,并实现。 取信号集 中的一个语音信号:“xxxxxx”,将它作为输入的语音信号来为设计一个美尔倒谱算法,在该算法中,主要设计了以下环节: 1.读入一个语音信号;2.对这个信号归一化;3.对归一化的信号进行加窗处理(这里的矩形窗长度必须为257,重帧长64);4.进行预加重处理,即通过一个高通滤波器: ;5进行512点的FFT;6.分别取模平方得到功率谱;7.在设计的mel滤波器组中,我采用了25个带通滤波器;8.将得到的功率
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:13793
    • 提供者:赵欣
  1. lcd12864

    0下载:
  2. Msp430的128*64液晶显示驱动。字符,点,汉字,等-Msp430 128* 64 LCD display drive. Characters, points, Chinese characters, etc.
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:7940
    • 提供者:胡星
  1. LcdDisp

    0下载:
  2. 128*64点阵LCD的Verilog代码,LCD为左右半屏各64*64个点,LCDdatasheet可参考ZY12864D.pdf-128* 64 dot matrix LCD, Verilog code, LCD screen is about half of the 64* 64 points, LCDdatasheet refer ZY12864D.pdf
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1002
    • 提供者:曹氏
  1. DEVIDE

    0下载:
  2. 对图像进行分割,分割成64*64的图片,是直接利用像素点的操作,有助于对CDib类的了解-The image segmentation, split into 64* 64 images, is the direct use of pixel is helpful for the understanding of CDib class
  3. 所属分类:Special Effects

    • 发布日期:2017-05-11
    • 文件大小:3069296
    • 提供者:李正勇
  1. 444

    0下载:
  2. 用S344BOX驱动的串行的ST7920液晶显示, 128*64个点 串行通讯,两根线 用I/O模拟驱动 有绘图模式和中文模式-S344BOX driven by the ST7920 serial LCD display, 128* 64 point serial communication, two lines with I/O analog drive a graphics mode and the Chinese model
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-08
    • 文件大小:1629288
    • 提供者:王风
  1. Twosumfloat

    0下载:
  2. 在6416开发板上 使用ASM代码实现dsp上双字节位宽(64位)的浮点运算-Two Sums of Products with Double-Word-Wide (64-bit) Data for Floating-Point Implementation Using ASM Code
  3. 所属分类:DSP program

    • 发布日期:2017-04-05
    • 文件大小:2477
    • 提供者:sure
  1. SOUND-PLAY-FOR-51MCU

    0下载:
  2. 歌曲演奏 音高由三位数字组成: 个位是表示 1~7 这七个音符 十位是表示音符所在的音区:1-低音,2-中音,3-高音 百位表示这个音符是否要升半音: 0-不升,1-升半音。 音长最多由三位数字组成: 个位表示音符的时值,其对应关系是: |数值(n): |0 |1 |2 |3 | 4 | 5 | 6 |几分音符: |1 |2 |4 |8 |16 |32 |64 音符=2^n 十位表示音符的
  3. 所属分类:SCM

    • 发布日期:2016-01-26
    • 文件大小:5120
    • 提供者:liu
  1. 12864_spce061

    0下载:
  2. 液晶屏类型 STN FSTN   模块显示效果:   黄绿底黑字   蓝底白字 白底黑字 视角 6点钟 12点钟   驱动方式 1/64 DUTY 1/9 BIAS   背光 LED白色 LED黄绿色   控制器 KS0108或兼容 ST7920 T6963C   数据总线 8 位并口/6800 方式 串口   温度特性 工作温度:-20℃~+70℃ 储藏温度:-30℃~+80℃   点阵格式 128 x 64 -Type STN FSTN LCD display modules: white
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:210160
    • 提供者:陶志华
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 »
搜珍网 www.dssz.com