CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 7 LED

搜索资源列表

  1. LED-supersonic-wave-

    0下载:
  2. keil中通过超声波设备发送超声波和接受回波测试距离,把计算得到的数据显示在7段数码管上,如果距离接近限定的值就会报警-sent by ultrasonic equipment in keil ultrasonic to accept echo test distance calculated data is displayed on the 7-segment LED, will alarm if the distance is close to the value of the qualif
  3. 所属分类:SCM

    • 发布日期:2017-11-27
    • 文件大小:1903
    • 提供者:tianmiaomiao
  1. code-led

    0下载:
  2. code seven leg module bcd_tang (clock, rst, s1, led) input clock, s1, rst output [6:0] led reg [6:0] led reg [3:0] bcd wire [3:0] bcd_next always @(posedge clock or posedge rst) begin if (rst == 1 b1) begin bcd <= 4
  3. 所属分类:Software Testing

    • 发布日期:2017-11-13
    • 文件大小:194573
    • 提供者:he
  1. 3.-LED-Interface

    0下载:
  2. ARM 7 interfacing program for led interfacing
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-11-28
    • 文件大小:25600
    • 提供者:joe
  1. 6.Hex-LED-Interface

    0下载:
  2. ARM 7 interfacing program for lcd hex interface
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-12-01
    • 文件大小:34106
    • 提供者:joe
  1. LED-Clock-F120-MutiTestBoard1

    0下载:
  2. 本代码是关于LED时钟的所有程序代码,一款采用5*7点阵模块的LED时钟,内含多个功能模块,MCU为8051-This code is all the code on the LED clock, a 5* 7 dot matrix LED clock module contains multiple functional modules, MCU 8051
  3. 所属分类:SCM

    • 发布日期:2017-11-11
    • 文件大小:56613
    • 提供者:马嘉彦
  1. proteus--7.8--for--led

    0下载:
  2. 这是一个关于LED屏的程序 带仿真文件,适用于初学proteus 者-This is a program on the LED screen with a simulation files, suitable for beginners proteus
  3. 所属分类:SCM

    • 发布日期:
    • 文件大小:319777
    • 提供者:万波
  1. AVR---light-ing-up-a-LED

    0下载:
  2. AVR -点亮LED程序 编程软件:AVRstudio4 编译程序:AVRGCC 仿真软件:Proteus(ISIS 7 Professional) -AVR- light ing up a LED。 The programmed software: AVRstudio4 compiler: AVRGCC simulation software: Proteus (ISIS 7 Professional)
  3. 所属分类:SCM

    • 发布日期:
    • 文件大小:266641
    • 提供者:lwj
  1. 7

    0下载:
  2. 用SPI总线将两块实验电路板连接起来。作为SPI主机的PIC单片机读取其所在的实验电路板上的按键值,判断6只按键中的哪一只被按下,并将按键编号通过SPI总线发送给作为从机的PIC单片机。从机得到按键编号后点亮从机所在电路板上对应的发光二极管,并将收到的按键编号重新发还给主机。主机收到后也将所在电路板上对应的发光二极管点亮。-Two breadboard connection with the SPI bus. Read key value of its experimental circuit
  3. 所属分类:SCM

    • 发布日期:2017-12-05
    • 文件大小:84841
    • 提供者:李宁
  1. 7-Seg_LEDCalc

    0下载:
  2. 7段数码管显示与对应值计算工具。先点击对应管位,设置显示顺序,再点击生成即可获得键值。鼠标选择键值,数码管相应显示。-7-segment LED display with the corresponding value calculation tools. Firstly, click the corresponding tube in the LED, in order to set the display order. Then click Generate to get the value
  3. 所属分类:Other windows programs

    • 发布日期:2017-12-01
    • 文件大小:14393
    • 提供者:luonian
  1. SEG-active

    0下载:
  2. 8位数码管动态显示程序(采用中断定时显示0到7)+led流水灯+Proteus仿真电路图-8 digital tube dynamic display program (interrupt timing circuit diagram display 0 to 7)+led the light water+Proteus simulation
  3. 所属分类:SCM

    • 发布日期:2017-11-20
    • 文件大小:62174
    • 提供者:leemiyao
  1. 7

    0下载:
  2. 五一单片机静态动态LED的基本设置使用,如频率图像设置等-The basic setup five one static and dynamic LED use, such as the frequency of the image set
  3. 所属分类:SCM

    • 发布日期:2017-11-24
    • 文件大小:784715
    • 提供者:胡雨彤
  1. LED

    0下载:
  2. 多位7段数码管动态显示程序(PIO+595)完美运行-failed to translate
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-14
    • 文件大小:439175
    • 提供者:apple
  1. Matriz-led-asm

    0下载:
  2. Proyecto Matriz led asm PIC16f873, El programa esta hecho en Assembler, pero facil de entender. El montaje esta hecho en proteus 7.4.
  3. 所属分类:assembly language

    • 发布日期:2017-11-11
    • 文件大小:102523
    • 提供者:guero_j25
  1. LED-dianzhen

    0下载:
  2. LED点阵实验(流动显示1 2 3 4 5 6 7 8 9)通过P0 和 P2 作为点阵接口,实验时可以用单片机脚直接驱动 -LED lattice experiments (flow display 12345678 9) by P0 and P2 as matrix interface, the experiment can be driven by single foot
  3. 所属分类:SCM

    • 发布日期:2017-11-14
    • 文件大小:16154
    • 提供者:赵虹
  1. EDA-Cont-LED-201006

    0下载:
  2. FPGA-CPLD实习计数器7段数码管控制接口设计与LED显示控制,FPGA译码-FPGA-CPLD internship counter 7-segment LED control interface design and LED display control, FPGA decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:304738
    • 提供者:云平
  1. led

    0下载:
  2. 按键控制7段LED数码管的显示值变化,使用keil汇编语言-Keys to control the 7-segment LED display changes
  3. 所属分类:assembly language

    • 发布日期:2017-11-20
    • 文件大小:69009
    • 提供者:陆小叉
  1. LED

    0下载:
  2. 数据的锁存和LED数码管显示接口实验 1.了解及掌握数据的锁存和六位7段LED数码管动态扫描显示接口的硬件和软件的设计方法; 2、设计显示六位静止不动字符的程序。 -Data latch and LED digital display interface experiment 1. Understand and master data latch and six seven segment LED display interface dynamic scanning hardware a
  3. 所属分类:assembly language

    • 发布日期:2017-11-07
    • 文件大小:803
    • 提供者:田红蕾
  1. LED-xianshi---yimaqi

    0下载:
  2. 7段LED显示译码器的设计 采用文本输入设计方法,通过编写VHDL语言程序,完成7段LED显示译码器的设计并进行时序仿真。 2、 设计完成后生成一个元件,以供更高层次的设计调用。 -7-segment LED display decoder design using text input design method, by writing VHDL language program, complete the seven-segment LED display decoder desig
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:54166
    • 提供者:杨帆
  1. led

    0下载:
  2. 建议的流水灯控制程序,其中包含.hex文件以及工程使用Proteus 7 Professional做的电路原理图,以及用Keil软件编译的工程文件-Recommended led control program
  3. 所属分类:Communication

    • 发布日期:2017-11-06
    • 文件大小:40637
    • 提供者:鸿雨
  1. 1.key_led

    0下载:
  2. C8051F320单片机按键例程,通过一个独立按键(接P0.0)控制7盏led灯的亮灭(按下即为0,led灯亮,反则灭)-C8051F320 MCU button routines, through a separate button (connected P0.0) control 7 led lamp light off (press is 0, led lights, anti then destroy)
  3. 所属分类:SCM

    • 发布日期:2017-11-23
    • 文件大小:30699
    • 提供者:李生
« 1 2 3 4 5 6 78 9 10 11 12 ... 35 »
搜珍网 www.dssz.com