CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - ALTERA

搜索资源列表

  1. PictureBrowser.tar

    0下载:
  2. PictureBrowser 是基于Altera 的DE2 开发板设计图像浏览器,代码是VHDL的
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2040476
    • 提供者:李斌
  1. altera_avalon_lcd_controller

    1下载:
  2. Altera的基于NIOS II的LCD控制器源代码
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:32176
    • 提供者:李斌
  1. altera_avalon_cy7c1380_ssram

    0下载:
  2. 关于altera的SRAM的读写控制IP代码,有兴趣的朋友可以下去
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:7200
    • 提供者:liufanyu
  1. Multi-ICE_ARM

    0下载:
  2. Altera USB_Blaster下载线制作资料,含图及程序
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:117567
    • 提供者:andy
  1. EDA_51

    0下载:
  2. Altera公司提供的NIOS开发板原理图,对利用NIOS实现SOC设计有参考价值
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:228504
    • 提供者:wangyunshann
  1. diff_io_top

    2下载:
  2. LVDS的应用的Verilog HDL例子程序,由altera公司提供。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:527848
    • 提供者:wangyunshann
  1. xulieji

    0下载:
  2. 在FPGA上实现序列机 用的是Altera公司的DE1板子
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:332701
    • 提供者:YY
  1. sopc_nios

    0下载:
  2. Altera公司推出的NIOS II处理器,非常有用,教程是初步教程
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:364113
    • 提供者:stcai
  1. AlterForMAX_II

    0下载:
  2. Altera原装MAX_II开发板原理图,是用protel绘制的
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:293925
    • 提供者:小郑
  1. FHT_example

    0下载:
  2. < ALTERA FPGA/CPLD 高级篇>>光盘资料中 体会“面积和速度的平衡与互换” 例程
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:229738
    • 提供者:shicheng342
  1. DDRinterface

    2下载:
  2. 《ALTERA FPGA/CPLD高级篇》高速DDR存储器数据接口设计实例
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:25327
    • 提供者:shicheng342
  1. HSDIinterface

    0下载:
  2. 《ALTERA FPGACPLD高级篇》高速串行差分接口(HSDI)设计实例
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:291524
    • 提供者:shicheng342
  1. LogicLock

    0下载:
  2. 《ALTERA FPGACPLD高级篇》LogicLock设计实例
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:2541735
    • 提供者:shicheng342
  1. source

    0下载:
  2. SDRAM通用接口程序,和Altera所给标准一致
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:14630
    • 提供者:王并
  1. i2c_p_altera

    0下载:
  2. altera i2c slave ip核verilog 编写
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1582893
    • 提供者:1984taozi
  1. ALTERA_DE2_FSM_VHDL

    0下载:
  2. This an exercise in using finite state machines.基于ALTERA的DE2开发 平台,设计一个有限状态机FSM(finite state machines).
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:76130
    • 提供者:sopc
  1. psk

    0下载:
  2. 利用VHDL语言实现在,altera 公司的cyclone芯片上实现数字信号的2psk调制解调功能
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:293911
    • 提供者:叶峰
  1. BFSK_VHDL_CODING

    0下载:
  2. 使用DDS技术,应用altera公司的芯片,以及杭州康芯公司的试验箱,实现BFSK信号的调制解调
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:265992
    • 提供者:叶峰
  1. LEDhanzigundong_VHDL

    0下载:
  2. 本文主要讨论了使用EDA工具设计汉字滚动显示器的技术问题。文中首先描述了基于现场可编程门阵列(FPGA)的硬件电路;然后研究了在8×8LED发光二极管点阵上显示滚动汉字的原理,并给出了基于ALTERA的参数化模型库LPM描述其功能的VHDL语言程序设计;最后对使用EDA工具软件加工被显示数据文件的方法进行了讨论。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:186110
    • 提供者:wang
  1. usbblaster

    0下载:
  2. Altera usb blaster 资料
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:84051
    • 提供者:老苏
« 1 2 ... 29 30 31 32 33 3435 36 37 38 39 ... 50 »
搜珍网 www.dssz.com