CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Antenna

搜索资源列表

  1. Antenna2008

    0下载:
  2. Optic communication antenna angle
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:9668
    • 提供者:成功
  1. LCMV

    5下载:
  2. 本程序是用于天线阵列波束波束形成的 LCMV算法,算法给出了误码率曲线,可以看出LCMV算法在高信噪比时误码率很小。 -this procedure is used for beam antenna array beam forming the Virus algorithm, the algorithm is given BER curves, Virus algorithm can be seen in the high signal-to-noise ratio at the bit
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:1451
    • 提供者:刘项羽
  1. ESmart

    0下载:
  2. 智能天线系统的matlab仿真程序 大家-Smart Antenna System Matlab simulation program we look at
  3. 所属分类:通讯/手机编程

    • 发布日期:2008-10-13
    • 文件大小:1724
    • 提供者:往昔
  1. adaptiveequalisersandsmartantennasystems

    1下载:
  2. adaptive equalisers and smart antenna systems 一篇学位论文,介绍了蜂窝电话系统,自适应均衡,阵列天线系统,并给出了matlab仿真源代码-adaptive equalizers and smart antenna sys tems a graduate thesis on the cellular telephone system, adaptive equalization, array antenna system, given Matlab
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:2016947
    • 提供者:可难
  1. MMSEandMVsimulation

    0下载:
  2. 这篇文章分析了智能天线中MMSE和MV波束形成器,并给出了部分MATLAB仿真程序,相信会对你的课题有帮助-This article analyzes the Smart Antenna MMSE and MV beam pattern, and some of the MATLAB simulation program, I believe you will help topics
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:534674
    • 提供者:yinghui
  1. ParticleSwarmOptimization(PSO)Primer

    0下载:
  2. 本文是关于粒子群算法(pso)的介绍和相关MATLAB源程序,是智能天线权值优化方面的一种很前沿的算法-paper on the PSO (pso) related to the introduction and MATLAB source. Smart Antenna is the right optimization of a very forefront of the algorithm
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:760105
    • 提供者:yinghui
  1. diancichang

    0下载:
  2. 电磁场各种类型天线的分析示意 提供方向图示意, 以及计算其输出阻抗等-electromagnetic field analysis of the various types of antenna indicate plans indicate the direction and calculate the output impedance
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:7860
    • 提供者:孟轲敏
  1. 2Tx_2Rx_STBC

    2下载:
  2. 该文件夹为Alamouti空时码的仿真程序,天线配置为2发2收。 主要程序的用途说明: mainMIMO_OFDMA_2Tx_SER.m: 主程序,设置各种参数 gendata.m: 生成原始信息数据的程序 mod_2Tx_STBC_DFUSC.m:调制程序 gen_SUI_CorrCIR.m: 生成信道冲击响应的程序 get_perfectCE_2x2.m: 生成理想信道估计值的程序 chanSUI_corr_2x2.m: 发送信号通过SUI信道的程序 addA
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:16100
    • 提供者:leon
  1. 2Tx_2Rx_STBC_MAP

    1下载:
  2. 该文件夹为空时块码-卷积码级联系统的仿真程序,天线配置为2发2收。 主要程序的用途说明: mainMIMO_OFDMA_2Tx_Convcode.m: 主程序,设置各种参数 gendatabits.m: 生成原始信息比特数据的程序 conv_encoder.m: 卷积码编码器 interleave.m: 交织器 mod_2Tx_STBC_DFUSC_Convcode.m:调制程序 gen_SUI_CorrCIR.m: 生成信道冲击响应的程序 get_perfect
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:22936
    • 提供者:leon
  1. 4Tx_2Rx_STBC

    0下载:
  2. 该文件夹为Alamouti空时码的仿真程序,天线配置为4发2收,与大家共享。-folder for the ACS code of space-time simulation program, antenna configuration for four 2 admission. and share.
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:16759
    • 提供者:leon
  1. rar1173146135

    1下载:
  2. RFID射频识别系统,RFID技术原理及其射频天线设计-RFID system, RFID technology and its RF antenna design
  3. 所属分类:RFID编程

    • 发布日期:2008-10-13
    • 文件大小:1614031
    • 提供者:yihang
  1. digitalforming

    0下载:
  2. 几种实现数字波束形成技术高效算法,在实际的通信智能天线和雷达系统中都有所应用-several digital beamforming technology and efficient algorithms, the actual communication smart antenna and radar systems have applied
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:12965
    • 提供者:研究生活
  1. Codejia

    0下载:
  2. 运行平台:ASP.NET+ACCESS 添加时间:2007-3-30 文件大小:1.6M 授权方式:免费程序 推荐程度: 浏览次数:269次 下载次数:151次 开 发 商:点击查看 功能选择:界面预览 查看演示 -platforms : ASP.NET ACCESS added : 2007-3-30 File Size : antenna Authorization : Free procedures recommended level : Visit
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1660467
    • 提供者:萧林
  1. antena1

    0下载:
  2. 阵列信号处理中有关天线阵列处理的基本程序。%%ARRAY ANTENNA SOFTWARE-signal processing array antenna array processing on the basic procedures. %% ARRAY ANTENNA SOFTWARE
  3. 所属分类:GPS编程

    • 发布日期:2008-10-13
    • 文件大小:4448
    • 提供者:chenliang
  1. yule_walkemethodPSD

    0下载:
  2. 基于yule_walker方程的空间谱估计算法,对学习空间谱估计与天线阵列的朋友会有帮助:)-yule_walker equation based on the spatial spectrum estimation algorithm right learning space spectrum estimation antenna array and friends will be helpful :)
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:839
    • 提供者:张生
  1. MOM

    1下载:
  2. method of moment to solve Current distribution of Dipole Antenna MOM方法解决天线电流分布问题
  3. 所属分类:邮电通讯系统

    • 发布日期:2008-10-13
    • 文件大小:1554
    • 提供者:wujing
  1. mono

    0下载:
  2. 一个计算单极子天线表面电流的小程序,需要调试!-a calculated monopole antenna surface currents in small procedures, require debugging!
  3. 所属分类:数学计算/工程计算

    • 发布日期:2008-10-13
    • 文件大小:2264
    • 提供者:ymning
  1. SMARTANTENNA

    0下载:
  2. 程序是对智能天线算法进行了简单的仿真!希望供大家参考,欢迎下载-procedures for smart antenna algorithm for the simulation of a simple! Hope for your reference, please download
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:1007
    • 提供者:yangfei
  1. OntheconvergencebehavioroftheLMSandthenormalizedLM

    0下载:
  2. 本文章介绍了智能天线LMS算法的收敛性问题 ,是一个不错的资料-this article introduces the Smart Antenna LMS algorithm convergence, is a good information
  3. 所属分类:语音合成与识别

    • 发布日期:2008-10-13
    • 文件大小:1430433
    • 提供者:yangfei
  1. SMI-beamformingforGSM

    0下载:
  2. 关于智能天线在GSM中的应用 希望对大家有用!大家积极下载、-on Smart Antenna in GSM of hope useful for all! Actively download,
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:396968
    • 提供者:yangfei
« 1 2 ... 32 33 34 35 36 3738 39 40 41 42 ... 50 »
搜珍网 www.dssz.com