CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FIFO

搜索资源列表

  1. C8051F040_CAN_block

    0下载:
  2. This program configures the external memory interface and CAN to receieve data in a FIFO buffer and store the data in XRAM. Meant to receive data from another CAN device.-This program configures the external memory interface and CAN to receieve data
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:11312
    • 提供者:sf
  1. SerialFIFOForC51

    0下载:
  2. 89S52上实现51单片机的串口FIFO功能。即串口的收和发均采用了FIFO作为缓冲。相信这个程序有助于单片机的新手编写串口操作-89S52 achieve 51 MCU Serial FIFO functions. Serial admission that the hair and are used as a FIFO buffer. I believe this program helps prepare newcomers MCU serial operation
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:32968
    • 提供者:陈根潮
  1. generic_fifos

    0下载:
  2. 用HDL语言编写的通用fifo源码,通过对fifo的宽度和深度进行配置,可以产生我们所需要的fifo,还包括fifo的测试程序和仿真Makefile脚本-with HDL prepared by the General fifo source, fifo of the breadth and depth configuration, can produce what we need fifo. also included fifo testing procedures and simulatio
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:26405
    • 提供者:崔崔
  1. fifo_VHDL

    0下载:
  2. 该文件是先入先出fifo的源代码和测试文件-the document is first-in-first out fifo the source code and test document
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:7592
    • 提供者:王立华
  1. Pcidriver

    0下载:
  2. PCI驱动编程实例,通过PCI可实施操作: 2、通过DMA方式往SDRAM写数据的步骤: (1) 往OMB1写传输数据次数 (2) 往OMB2写所要访问的SDRAM地址 (3) 往FIFO写2 3、通过DMA方式从SDRAM读数据的步骤: (1) 往OMB1写传输数据次数 (2) 往OMB2写所要访问的SDRAM地址 (3) 往FIFO写3 -PCI-driven programming examples, can be implemented through
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:62751
    • 提供者:葛琳
  1. PROCESS1

    0下载:
  2. 进程调度,包括FIFO算法,优先数调度算法,时间片轮转调度算法-scheduling process, including FIFO algorithm, a few priority scheduling algorithm, time-Cycle Scheduling Algorithm
  3. 所属分类:C#编程

    • 发布日期:2008-10-13
    • 文件大小:1534
    • 提供者:spice
  1. ymdd

    0下载:
  2. 页面调度算法主要有:FIFO,最近最少使用调度算法(LRU),最近最不常用调度算法(LFU),最佳算法(OPT) 题目要求: ① 实现三种算法:1、先进先出;2、OPT;3、LRU ② 页面序列从指定的文本文件(TXT文件)中取出 ③ 输出:第一行:每次淘汰的页面号,第二行:显示缺页的总次数
  3. 所属分类:系统编程

    • 发布日期:2008-10-13
    • 文件大小:1761
    • 提供者:sunny
  1. fifo88

    0下载:
  2. 8*8位的先入先出(fifo)数据缓冲器的vhdl源程序-8 * 8 of the first-in-first out (FIFO) buffers the data source VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:317863
    • 提供者:hailaing
  1. cz

    0下载:
  2. 操作系统的课程设计,分别是 题目一:模拟分页式存储管理中硬件的地址转换和产生缺页中断。 题目二:用先进先出(FIFO)页面调度算法处理缺页中断。 -operating system of curriculum design, is a topic : simulation tabbed storage management hardware address translation and have missing pages interruption. Topic 2 : FIFO
  3. 所属分类:C#编程

    • 发布日期:2008-10-13
    • 文件大小:72266
    • 提供者:xb
  1. fifo_lru_opt

    0下载:
  2. 操作系统课程设计,页面置换算法,包含了先进先出fifo、最近最久未使用lru、还有最佳opt三种算法。代码简单易懂,编译通过。-courses on operating system design, page replacement algorithms, including the FIFO fifo. the most recent time on the use of LRU, the three best opt algorithm. Code straightforward compi
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:1752
    • 提供者:wz
  1. ztemplbase

    0下载:
  2. 基于deque实现的队列模板,可用作各种数据类型的先进先出缓冲。-based cohort achieved templates can be used as the various types of data FIFO buffer.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1807
    • 提供者:蓝文纪
  1. EZW_example

    0下载:
  2. EZW举例 该源代码包含有6个文件: EZW.H - EZW编码器头文件 EZW.C - EZW编码器文件 MATRIX2D.H MATRIX2D.C - 编码器数据结果定义和数据操作 FIFO.H FIFO.C - 扫描方式定义:先入先出原则 LIST.H LIST.C - 零树结构定义和操作 UNEZW.C - EZW解码器-EZW For the source code contains six documents : EZW.H - EZW-header
  3. 所属分类:mpeg/mp3

    • 发布日期:2008-10-13
    • 文件大小:11265
    • 提供者:赵俊
  1. wgq2

    0下载:
  2. 进程调度 进程调度算法有FIFO,优先数调度算法,时间片轮转调度算法,分级调度算法,目前主要是考FIFO和优先数调度算法(静态优先级)。 -process scheduling process FIFO scheduling algorithm is priority number scheduling algorithm, time-Cycle Scheduling algorithm, grade scheduling algorithm, the key is to test
  3. 所属分类:进程与线程

    • 发布日期:2008-10-13
    • 文件大小:1491
    • 提供者:www
  1. FIFOandLRUarithmetic

    0下载:
  2. 最佳页面置换算法,FIFO,LRU的仿真。页面调用和置换过程有动态显示。内存分配页面数目和页面引用串的长度可以进行人工交互输入。 -best pages replacement algorithm, FIFO, the LRU simulation. Page calls and replacement process is dynamic display. Memory allocation of the number of pages and pages cited the lengt
  3. 所属分类:数据结构常用算法

    • 发布日期:2008-10-13
    • 文件大小:6683
    • 提供者:刘利辉
  1. 59c51

    0下载:
  2. 此程序在51上实现,很多个项目都用到,调试通过,做串口的FIFO很合适...... -this program to achieve the 51, many items are used, debugging, Serial FIFO done very suitable ......
  3. 所属分类:C#编程

    • 发布日期:2008-10-13
    • 文件大小:1462
    • 提供者:
  1. threadphilosopher

    0下载:
  2. 利用线程方案实现实现哲学家问题.设置五个信号量代表五把叉子,初使值均为1,表示5把叉子均可以取,设置五个线程代表5个哲学家,其值分别为0~4,规定奇数号的哲学家先拿起他左边的叉子,然后再去拿他右边的叉子 而偶数号的哲学家则相反.按此规定,将是1,2号哲学家竞争1号叉子,3,4号哲学家竞争3号叉子.即五个哲学家都竞争奇数号叉子,获得后,再去竞争偶数号叉子,最后总会有一个哲学家能获得两支叉子而进餐。而申请不到的哲学家进入阻塞等待队列,根FIFO原则,则先申请的哲学家会较先可以吃饭,因此不会出现饿死的
  3. 所属分类:进程与线程

    • 发布日期:2008-10-13
    • 文件大小:1085
    • 提供者:林茜
  1. pageChange

    0下载:
  2. 操作系统算法,关于页面置换算法的一个描述,其中写了两种算法,一种为先进先出,另一种为少用先出。-operating system algorithm, on the pages of a replacement algorithm descr iption, which was made two algorithms, for a FIFO, and the other for the use of first-out.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1980
    • 提供者:倪恩伟
  1. FX2_Slave_FIFO

    1下载:
  2. 68013 Usb2.0芯片Fifo驱动驱动程序源代码-68,013 Usb2.0 SC16CxxBUARTs driver chip driver source code
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:86332
    • 提供者:Linger
  1. www[1].cnzz.cn

    1下载:
  2. 先进先出页面置换算法159 5.6.2最佳页面置换算法159 5.6.3最近最少使用页面置换算法160 5.6.4第2次机会页面置换算法161 5.6.5时钟页面置换 -FIFO replacement algorithm 159 pages 5.6.2 best replacement algorithm 159 pages recent 5.6.3 use at least 160 pages replacement algorithm 5.6.4 2nd chance pages repl
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:2949
    • 提供者:贾冬
  1. fjfu

    0下载:
  2. 这就是fifo算法,中文就是先进先出算法,,大家可以看看,不过很简单,,有部分实现的并不太好,,希望大家指点-algorithm, Chinese is FIFO algorithm, we can look at, but very simple. some achieved is not very good, and we hope the guidance
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:84100
    • 提供者:崔卫军
« 1 2 ... 36 37 38 39 40 4142 43 44 45 46 ... 50 »
搜珍网 www.dssz.com