CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FPGA 显示

搜索资源列表

  1. VHDL

    0下载:
  2. 采用VHDL语言设计一个4通道的数据采集控制模块。系统的功能描述如下: 1.系统主时钟为100 MHz。 2.数据为16位-数据线上连续2次00FF后数据传输开始。 3.系统内部总线宽度为8位。 4.共有4个通道(ch1、ch2、ch3、ch4),每个通道配备100 Bytes的RAM,当存满数据后停止数据采集并且相应通道的状态位产生报警信号。 5.数据分为8位串行输出,输出时钟由外部数据读取电路给出。 6.具备显示模块驱动功能。由SEL信号设置显示的通道,DISPLAY
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5783271
    • 提供者:pengfu
  1. cy7c63743

    0下载:
  2. CY7C68013单片机通信测试:数据从控制面板传入EP1IN端点,然后送入IOA端口,然后程序读取IOA端口,送入EP1IN端点,在控制面板显示。-CY7C68013 Singlechip communications test: data from the control panel EP1IN incoming endpoint, and then into the IOA port, and then the procedure to read IOA port, into EP1IN
  3. 所属分类:SCM

    • 发布日期:2017-05-02
    • 文件大小:600899
    • 提供者:寒剑
  1. video_process_base_on_DSPandFPGA

    0下载:
  2. 基于高速数字信号处理器(DSP) 和大规模现场可编程门阵列( FPGA) ,成功地研制了小型 化、低功耗的实时视频采集、处理和显示平台. 其中的DSP 负责图像处理,其外围的全部数字逻辑功能都集成在一片FPGA 内,包括高速视频流FIFO、同步时序产生与控制、接口逻辑转换和对视频编/ 解码器进行设置的I2 C 控制核等. 通过增大FIFO 位宽、提高传输带宽,降低了占用EMIF 总线的时间 利用数字延迟锁相环逻辑,提高了显示接口时序控制精度. 系统软件由驱动层、管理层和应用层组成,使得硬件管
  3. 所属分类:Special Effects

    • 发布日期:2017-04-04
    • 文件大小:547256
    • 提供者:John
  1. FPGA-drivenLEDdisplay

    0下载:
  2. FPGA驱动LED显示:运用硬件描述语言(如VHDL)设计一个显示译码驱动器,即将要显示的字符译成8段码。由于FPGA有相当多的引脚端资源,如果显示的位数N较少,可以直接使用静态显示方式,即将每一个数码管都分别连接到不同的8个引脚线上,共需要8×N条引脚线控制.-FPGA-driven LED display: the use of hardware descr iption languages (such as VHDL) design a display decoder driver, ab
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:904
    • 提供者:王娟
  1. VHDL_1602

    0下载:
  2. 显示LCD,采用VHDL语言编写,基于1602的显示模块-Display LCD, using VHDL language, based on the 1602 display module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1594739
    • 提供者:lk
  1. FPGA-SRAMt-test

    0下载:
  2. 测试型号为EP2C5Q208C8的FPGA的RAM是否正常,按提示操作,并显示每步的测试结果-EP2C5Q208C8 test models for the FPGA' s RAM and whether it is normal, according to prompts, and display each step of the test results
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:2518
    • 提供者:冀少威
  1. lcd

    0下载:
  2. 用sopc技术实现对128*64的lcd液晶显示。这里是它的程序。 -Sopc technology used for implementation of 128* 64 LCD lcd. Here is the procedure.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1074
    • 提供者:zlw
  1. ledhzxs

    1下载:
  2. 以FPGA芯片为核心,扩展必要的外围电路,制作一个16*16LED点阵的汉字显示屏,使之能显示16*16LED点阵的汉字4个,如“一”,“二”,“三”,“四”等。要求显示的汉字无闪烁。每个汉字停留时间1秒。-To FPGA chip as the core, the expansion of the necessary external circuit, producing a lattice of 16* 16LED display of Chinese characters so that
  3. 所属分类:SCM

    • 发布日期:2017-04-25
    • 文件大小:263447
    • 提供者:庄青青
  1. vga_colors

    0下载:
  2. 通过vga通讯控制显示器显示七彩条文,通过quartus编译的程序,可用-Communication and Control through the vga display colorful provisions quartus compiled through the procedures that can be used
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:276673
    • 提供者:夏英杰
  1. DDS

    0下载:
  2. 此程序使用单片机C言语编写的液晶显示及键盘输出程序,调试已通过。-Use this procedure to prepare single-chip C language of the liquid crystal display and keyboard output procedures, debugging has passed.
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:742
    • 提供者:zhxg
  1. LCM

    0下载:
  2. Stn8060d_2彩色液晶显示控制器使用说明,课实现单片机或FPGA控制。-Color LCD controller Stn8060d_2 the use of that class to achieve control of single-chip or FPGA.
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:8801
    • 提供者:喜相逢
  1. source_code

    0下载:
  2. 基于FPGA的vga实现,用于显示一行文字"伟杰电子FPGA开发系统 "-FPGA-based realization of the vga, used to display a line of text " Weijie e-FPGA Development System"
  3. 所属分类:Other systems

    • 发布日期:2017-03-28
    • 文件大小:3391
    • 提供者:陈阳光
  1. daima

    0下载:
  2. 状态机控制AD转换模块 该模块主要实现对MAX197的控制:根据设计需要对芯片进行初始化(包括写控制字选择输入电压值范围、选择通道以及工作模式),并把通道数送指示灯显示以及用键盘控制通道号(按一下,通道号加1,同时点亮相应的指示灯,循环使用个通道);控制状态机的工作时序,并置两次采集到的数据为12位数据输出,并经过锁存进程来锁存数据,最后从锁存器中把输出数据-The state machine controls AD and changes the module this module ma
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:2771
    • 提供者:万俟斌
  1. 23

    0下载:
  2. 基于FPGA的液晶显示控制器的设计,FPGA用的是EP2C5,LCD用的是ST7920内核的122*32点阵的LCD,显示中西文字符-FPGA-based LCD display controller design, FPGA is used EP2C5, LCD is used in the ST7920 core of 122* 32 dot matrix LCD, display of Chinese and Western characters
  3. 所属分类:Other systems

    • 发布日期:2017-05-10
    • 文件大小:2321364
    • 提供者:龙霄
  1. FPGALCD

    0下载:
  2. FPGA控制LCD128*64程序,时序已仿真引脚锁定,并在硬件能够上实现汉字显示。-FPGA control LCD128* 64 procedures have been timing simulation, and hardware to achieve display of Chinese characters.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-10
    • 文件大小:1242145
    • 提供者:李恺君
  1. 1602_jp

    0下载:
  2. FPGA lcd显示程序,可以扫描键盘输入,并在lcd上显示,-FPGA lcd display program, you can scan the keyboard input and display in lcd,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:478211
    • 提供者:zdy
  1. 12864

    0下载:
  2. 基于VHDL语言,控制液晶12864显示的源程序,非常好用。-Based on the VHDL language, control of liquid crystal display source code 12864, very easy to use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2475612
    • 提供者:qiuhaimei
  1. counter

    0下载:
  2. 适用于FPGA Xilinx开发板的Counter程序,计数从0到9999,在板上用4位7段数码管显示,可实现双向计数。-Applicable to FPGA Xilinx development board of the Counter procedures, counting from 0 to 9999, in the board with four 7 digital display, enabling two-way counts.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:130640
    • 提供者:flyingwings
  1. vga

    0下载:
  2. Xilinx FPGA verilog程序,用于控制VGA接口控制CRT显示器工作,使其实现色彩条显示-Xilinx FPGA verilog procedures VGA interface control used to control the work of CRT monitors to achieve color display article
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1671
    • 提供者:包宰
  1. LEDVHDL

    0下载:
  2. 8.2 LED控制VHDL程序与仿真 本节分别介绍采用FPGA对LED进行静态和动态显示的数字时钟控制程序。 1. 例1:FPGA驱动LED静态显示 --文件名:decoder.vhd。 --功能:译码输出模块,LED为共阳接法。 --最后修改日期:2004.3.24。 -8.2 LED control and simulation of VHDL procedures introduced in this section of the LED using FPGA st
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:5263
    • 提供者:wangnan
« 1 2 3 4 5 67 8 9 10 11 ... 50 »
搜珍网 www.dssz.com