CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FPGA verilog

搜索资源列表

  1. max197

    0下载:
  2. FPGA实现MAX197读写程序,经过验证-FPGA control 12bAD max197
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:2737
    • 提供者:刘义红
  1. CHICAGO5Manual

    0下载:
  2. 高科技的发展使芯片设计不再是半导体工业的领域,现场可编程逻辑阵列(FPGA)的出现使通过软件来快速实现芯片设计成为可能。本系统是广泛面向全球的工程技术人员和大专院校学生,使您能够在最短的时间内掌握FPGA的应用与VHDL/AHDL/Verilog HDL这一电子逻辑设计利器,迅速的加入高级电子设计人才行列。-The development of high-tech chip design is no longer the field of semiconductor industry, fiel
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:257817
    • 提供者:童志通
  1. 63535309sram

    0下载:
  2. verilog编写的读写SRAM的源码,包括sram的读写控制-SRAM read and write verilog source code written in, including the sram to read and write control
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:1206
    • 提供者:haha
  1. bit_synchronize

    1下载:
  2. 位同步例程源代码,FPGA应用领域,Verilog-Bit synchronization routines source code, FPGA applications, Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:2378
    • 提供者:王刚
  1. nios_lcd_3c120

    0下载:
  2. Cyclone III FPGA Nios II LCD开发程序,包括QuartusII工程及Verilog源码。-Cyclone III FPGA Nios II LCD development process, including the QuartusII engineering and source code.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-13
    • 文件大小:3078982
    • 提供者:wei
  1. FPGAEthernetVerilog

    0下载:
  2. 使用Verilog语言在FPGA平台上控制Ethernet上数据的发送与接收-FPGA realization using Verilog to control transmitting and receiving data over Ethernet
  3. 所属分类:Communication

    • 发布日期:2017-03-22
    • 文件大小:5916
    • 提供者:白宇
  1. verilog

    0下载:
  2. Verilog 语言的开发经验,希望对FPGA开发的初学者有所帮助-Verilog Experience, hope it be helpful for every FPGA beginner
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:12768
    • 提供者:白宇
  1. clock

    0下载:
  2. 多功能数字钟Verilog HDL的源码,能够整点报时,报整点数,设定任意时刻闹钟,低音高音两种频率。-Multi-function digital clock Verilog HDL source code, set the alarm clock at any time, bass treble two frequencies. It s for FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:984150
    • 提供者:Stone Lei
  1. edaok_UART_FPGA

    0下载:
  2. 用FPGA实现UART的串口通信,可以设置数据位,校验位,奇偶校验等-With the FPGA to achieve UART serial communication, you can set the data bits, parity bit, parity, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4127171
    • 提供者:杨奔
  1. CAVLC

    2下载:
  2. H.264 CAVLC硬體原始碼下載,內附測試檔案。-H.264 CAVLC Hardware Verilog source code
  3. 所属分类:Streaming_Mpeg4

    • 发布日期:2017-05-13
    • 文件大小:3269081
    • 提供者:李昆憲
  1. 09_alloc

    0下载:
  2. 一个自己用verilog写的路由仲裁器的程序,基于fpga。-Own use verilog to write a routing arbiter of the program, based on fpga.
  3. 所属分类:MPI

    • 发布日期:2017-04-06
    • 文件大小:36213
    • 提供者:DYP
  1. TemperatureMonitor_lab

    0下载:
  2. 实现温度的实时的检测,使用Verilog语言,适用于actel公司的FPGA-To achieve real-time temperature detection, the use of Verilog language, the company' s FPGA for actel
  3. 所属分类:Other systems

    • 发布日期:2017-05-08
    • 文件大小:1906977
    • 提供者:王宏
  1. ds18b20

    0下载:
  2. 艾米电子FPGA18b20的verilog源代码-aimi stdio fpga
  3. 所属分类:source in ebook

    • 发布日期:2017-03-30
    • 文件大小:72683
    • 提供者:王萍
  1. VGA_v

    1下载:
  2. 基于 FPGA 的VGA显示控制器设计(采用Verilog 语言) 控制VGA显示模块 VGA_HS,VGA_VS1,VGA_BLANK时序的发生器。包括测试程序 采用ALTERA Cyclone II系列芯片EP2C8Q208C8N芯片测试成功。-module VGA(CLK_50,RST_N,VGA_HS,VGA_VS1,VGA_BLANK, VGA_CLK,VGA_SYNC,VGA_R,VGA_G,VGA_B) input
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:520333
    • 提供者:林锦鸿
  1. FPGA_verilog

    0下载:
  2. 资料包含无线通信FPGA设计代码,使用VERILOG语言编写-Information includes wireless communications, FPGA design code, the use of VERILOG language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:217794
    • 提供者:lilei
  1. Xilinx-FIR

    1下载:
  2. 基于Xilinx FPGA实现的系数可装载数字滤波器源代码-Configurable Digital Filter Based on FPGA (using Verilog under Matlab 2008a)
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-06
    • 文件大小:3090145
    • 提供者:胡文静
  1. uart_rx

    0下载:
  2. Tcode is in VERILOG HDL (Hardware descr iption language) code is of UART (universal asynchronous receiver&transmitter) receiver . its objective is to accept serial data from port of computer and allow it to come in a FPGA-Tcode is in VERILOG HDL
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:992
    • 提供者:hassan
  1. seven_seg_decoder

    0下载:
  2. ITS A verilog HDL code for seven segment display .. on different FPGA there are seven segment displays available .. any number from 0 to 9 can be displayed on it .. using this decoder a BCD input is required .. that would be decoded to seven segment
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:739
    • 提供者:hassan
  1. cf_ldpc_latest.tar

    0下载:
  2. we need exactly a complete turbo codes in either MATLAB or VERILOG
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-17
    • 文件大小:63106
    • 提供者:soundravalli
  1. tlc2543AND11channel

    2下载:
  2. 11路串行AD采集芯片TLC2543,12BIT精度输出,100Khz,采用VERILOG HDL编写,占用200个LE-11-Channel Serial AD acquisition chip TLC2543, 12BIT accuracy of the output, 100Khz, using VERILOG HDL preparation, taking up 200 LE
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-01-25
    • 文件大小:32276
    • 提供者:chenwl
« 1 2 ... 33 34 35 36 37 3839 40 41 42 43 ... 50 »
搜珍网 www.dssz.com