CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - PWM产生

搜索资源列表

  1. 用51产生可调占空比的PWM的演示程序

    1下载:
  2. 用51产生可调占空比的PWM的演示程序
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2011-05-13
    • 文件大小:3290
    • 提供者:tiankongyun
  1. 利用52单片机和8254产生PWM控制直流电机

    0下载:
  2. 所属分类:其他嵌入式/单片机内容

  1. 利用STM32的TIM定时器产生方波信号

    1下载:
  2. 利用STM32的TIM定时器产生四个不同占空比的方波信号 。,his example shows how to configure the TIM1 peripheral to generate 7 PWM signals with 4 different duty cycles.
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2016-01-25
    • 文件大小:8339
    • 提供者:梁凯
  1. 使用pic16单片机按需要产生pwm输出信号

    0下载:
  2. 使用pic16单片机按需要产生pwm输出信号,包括电路图和代码,Pic16 by the need for the use of single-chip pwm output signal generated, including circuit diagrams and code
  3. 所属分类:汇编语言

    • 发布日期:2017-03-23
    • 文件大小:62951
    • 提供者:杨波
  1. pwm_dri.利用PIC单片机产生PWM波形调整风扇转速

    3下载:
  2. 温控程序,利用PIC单片机产生PWM波形调整风扇转速,采用了PID算法,能较好的实现温控功能,温度能稳定在预设点,也可以由主机端串口实现温度设定,带Proteus仿真 ,对初学PIC单片机者有一定帮助,temperature controll system,controll signal is PWM generated by PIC MCU,the system work well,this program is helpfull for new designer off PIC MCU
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2016-10-06
    • 文件大小:96231
    • 提供者:刘小军
  1. liushuideng.rar

    0下载:
  2. pmw的有一个例子: PWM 控制 LED 灯渐亮渐灭程序 * 利用定时器控制产生占空比可变的 PWM 波 * 按K1,PWM值增加,则占空比减小,LED 灯渐暗。 * 按K2,PWM值减小,则占空比增加,LED 灯渐亮。 * 当PWM值增加到最大值或减小到最小值时,蜂鸣器将报警 * 资源:p0口,8路指示灯。p1.4,p1.5亮度控制按键(端口按键)p3.7小喇叭报警 ,err
  3. 所属分类:assembly language

    • 发布日期:2017-03-25
    • 文件大小:1300
    • 提供者:jing
  1. SPWM.zip

    0下载:
  2. 采用STC-51的STC12C5202AD芯片产生50HZ正弦波的SPWM调制发生器,50HZ generate sine wave of SPWM modulation generator
  3. 所属分类:assembly language

    • 发布日期:2017-03-22
    • 文件大小:1593
    • 提供者:li
  1. PWM.rar

    0下载:
  2. 利用定时器产生PWM,了解原理和使用方法.LED灯由亮到灭,由灭到亮逐步变化,也就是调光现象,Generated using the timer PWM, understand the principle and use. LED will light to destroy, to the light gradually changes from the off, that is, light-phenomenon
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:941
    • 提供者:shuyang
  1. pwm

    0下载:
  2. 此程序可用于产生正弦波、三角波、锯齿波、方波并仿真通过,采用LPM_rom-This program can be used to generate sine wave, triangle wave, sawtooth wave, square wave and the simulation by using LPM_rom
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1452376
    • 提供者:夜雨
  1. PWM--xunji

    0下载:
  2. 用LM3S811写的利用IO口产生PWM信号控制电机,并利用光电传感器采集黑白信息送给IO口,然后控制小车循迹-Written with LM3S811 PWM signal generated using the IO port to control the motor, and the use of photoelectric sensors collect information sent to the IO port black and white, and then control t
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:308869
    • 提供者:lixiangxiang1
  1. PWM

    3下载:
  2. 自己利用PSCAD搭建了一个简单的三相逆变器系统,可以学习PWM的产生以及三相逆变器的工作原理。-Their use of PSCAD to build a simple three-phase inverter system, can learn the production and three-phase PWM inverter works.
  3. 所属分类:Other systems

    • 发布日期:2015-04-16
    • 文件大小:3072
    • 提供者:邹盛
  1. a.rar

    2下载:
  2. PWM经过RC滤波产生电压,经过XTR115产生4-20mA电流输出,要点是XTR115的电压输入问题,自身为两线制,+5V输出提供不了较大电流,需要用DCDC,RC滤波采用钽电容和4.7k-4.9k的低温漂电阻能得到较高线形度,PWM输出为AVR单片机16位,模式15,不分频,PWM voltage generated through RC filter, after XTR115 have 4-20mA current output, the key points of the voltag
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2013-08-16
    • 文件大小:3879
    • 提供者:niucunfei
  1. PWMWave.rar

    0下载:
  2. 利用51单片机的两个定时器同时产生两路脉冲,其中一路为频率、占空比可调的PWM,另一路可以串行发送给定数据(即载波)。,The use of 51 single-chip timer at the same time the two have a two-way pulse, in which all the way for the frequency, duty cycle adjustable PWM, another way can be sent to the set of serial
  3. 所属分类:SCM

    • 发布日期:2017-03-23
    • 文件大小:37588
    • 提供者:wmx0901
  1. TMS320F2812-pwm.rar

    1下载:
  2. 开发环境ccs 利用TMS320F2812产生pwm信号输出,用于电力电子方面如可用于电机的控制,整流逆变过程的控制,Development Environment ccs generate pwm signal using TMS320F2812 output can be used in power electronics, such as for motor control, process control rectifier inverter
  3. 所属分类:DSP program

    • 发布日期:2017-03-24
    • 文件大小:147417
    • 提供者:baoeli
  1. PWM

    1下载:
  2. 使用stc12c5a60s2单片机的PCA/PWM功能产生任意占空比的程序-Use stc12c5a60s2 microcontroller PCA/PWM duty cycle of the program produce any functional
  3. 所属分类:SCM

    • 发布日期:2016-01-26
    • 文件大小:1024
    • 提供者:黄梅平
  1. PWM

    0下载:
  2. 通过ADC0808器件实现PWM实现PWM波形的产生。-The PWM wave is designed by ADC0808.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-05
    • 文件大小:20147
    • 提供者:xieliyang
  1. 5pwm

    0下载:
  2. 基于stm32f103通用定时器产生四路PWM信号-Have four general-purpose timer based stm32f103 PWM signal
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-22
    • 文件大小:542256
    • 提供者:li
  1. PWMLED

    0下载:
  2. 利用定时器控制产生占空比可变的 PWM 波 按K1,PWM值增加,则占空比减小,LED 灯渐暗。 按K2,PWM值减小,则占空比增加,LED 灯渐亮。 当PWM值增加到最大值或减小到最小值时,蜂鸣器将报警。-Have a timer to control the use of variable duty cycle PWM wave by K1, PWM values increase, then decrease the duty cycle, LED lights dimming
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:1169
    • 提供者:方道元
  1. light

    1下载:
  2. C51 编写的通过按键调节led灯亮度的程序,用定时器产生可调PWM.-C51 prepared through the buttons adjust brightness led procedures, have an adjustable timer with PWM.
  3. 所属分类:SCM

    • 发布日期:2017-03-26
    • 文件大小:11183
    • 提供者:caoxian
  1. bldcm

    2下载:
  2. 所建立的无刷直流电动机系统的Simulink仿真模型图,功能模块包括:逆变器模块、电源模块、换相逻辑模块、PWM信号产生模块、电动机本体模块、转速测量模块和磁极位置测量模块。-Established by the brushless DC motor system in Simulink simulation model diagram, function modules include: inverter module, power modules, logic modules for pha
  3. 所属分类:matlab例程

    • 发布日期:2013-05-23
    • 文件大小:14577
    • 提供者:zhangbin
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 50 »
搜珍网 www.dssz.com