CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - SPI Master

搜索资源列表

  1. SPI-MSP430

    0下载:
  2. msp430的spi程序,msp430作为主机-MSP-FET430P140 Demo- USART1, SPI Full-Duplex 3-Wire Master P1.x Exchange
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:10614
    • 提供者:杨澄
  1. SPI-interrupt-mode

    0下载:
  2. cc2530 spi 模式的中断方式通信 主模式-长春530 spi interrupt master mode
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:84614
    • 提供者:李辉
  1. IO-SPI

    0下载:
  2. 详细介绍单片机IO口模拟SPI总线的用法,更容易掌握模拟SPI的编程。-Detailed introduction of SCM IO mouth simulation SPI bus usage, easier to master SPI simulation programming.
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:2991
    • 提供者:genius
  1. SPI-Master

    0下载:
  2. CSPI MASTER CONTROLLER CODE SOURCE
  3. 所属分类:MultiLanguage

    • 发布日期:2017-03-30
    • 文件大小:765
    • 提供者:Maciej Kmet
  1. SPI

    0下载:
  2. SPI master code for microcontrollers
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-18
    • 文件大小:584
    • 提供者:rahpan
  1. SPI

    1下载:
  2. 此源代码是在lpc2378平台上的spi主从设备通信的源代码-This source code is platform lpc2378 spi master and slave devices communication source code
  3. 所属分类:SCM

    • 发布日期:2014-08-29
    • 文件大小:279552
    • 提供者:zhujiatian
  1. Using-the-USART-as-a-SPI-master

    0下载:
  2. avr单片机的串口通信程序实例,包括UART和SPI通信。-instance of the avr microcontroller serial communication program, including UART and SPI communication.
  3. 所属分类:SCM

    • 发布日期:2017-11-05
    • 文件大小:140049
    • 提供者:lihl
  1. spi

    0下载:
  2. spi时序控制程序。在fpga中,数据传输等都会由spi进行与主控的交换,此程序用于在数据传输中spi部分的时序控制等。-The spi Timing control procedures. In fpga, data transmission, and will by spi master exchange spi part of this procedure is used in the data transmission timing control.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-12
    • 文件大小:1024
    • 提供者:neal
  1. ti-ssp-spi

    0下载:
  2. 分享一个关于linux 系统下基于SPI主驱动器胡音序器串行端口(SSP)程序-Share a linux system based on the SPI master drive Hu sequencer serial port (SSP) program
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-11-14
    • 文件大小:2823
    • 提供者:uigregg
  1. SPI-Master

    0下载:
  2. MC9S12XS128的SPI通信自测程序,此部分为SPI通信的主机的C程序-failed to translate
  3. 所属分类:SCM

    • 发布日期:2017-11-11
    • 文件大小:294992
    • 提供者:陈义
  1. SPI-Master-Test

    0下载:
  2. FW-2103的SPI程序,用于主机和从机之间进行通信。-FW-2103 the SPI program for the host and the communication between slave.
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-11-07
    • 文件大小:39285
    • 提供者:argentum
  1. STM8-SPI-master-slave

    0下载:
  2. stm8的spi介绍,可以了解他的硬件,怎么工作的,这个很重要-stm8 the spi introduction, you can understand his hardware, how to work, this is very important
  3. 所属分类:SCM

    • 发布日期:2017-10-31
    • 文件大小:17318
    • 提供者:wangjing
  1. SPI

    0下载:
  2. spi master code for fpga quartus altera
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:354350
    • 提供者:djawad
  1. SPI-verilog

    0下载:
  2. spi master code for fpga quartus altera
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:355406
    • 提供者:djawad
  1. MSP430G2553-SPI

    1下载:
  2. MSP430G2553 SPI 主机发送-MSP430G2553 SPI master transmit
  3. 所属分类:Other Embeded program

    • 发布日期:2015-05-10
    • 文件大小:2048
    • 提供者:莫宗蒸
  1. SPI

    0下载:
  2. 基于SPI的串口通讯,最简单的SPI主从机通讯程序!-SPI-based serial communication, the most simple SPI master and slave communication program!
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:8305
    • 提供者:蔡军
  1. STC12C5A60S2-SPI

    1下载:
  2. STC12C5A60S2单片机的内部SPI主从机模式通讯测试,一个为主机程序,一个为从机,需要晶振频率相同-STC12C5A60S2 microcontroller' s internal SPI master and slave mode communications test
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:1471
    • 提供者:刘海
  1. SPI

    0下载:
  2. SPI主机模块,适合10024芯片等SPI接口-SPI master module for 10,024 chips SPI interface
  3. 所属分类:Com Port

    • 发布日期:2017-04-07
    • 文件大小:3466
    • 提供者:bobo
  1. SPI

    0下载:
  2. Arm 与SPI从机之间的数据交互程序。包括寄存器的读取与从机对应系统RAM的读写。-Arm connection program, spi master and spi slave, register read and write, ram read and write.
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:3751
    • 提供者:李保申
  1. SPI

    0下载:
  2. about master and slave micro in spi interface
  3. 所属分类:单片机(51,AVR,MSP430等)

« 1 2 3 45 6 7 8 9 10 ... 19 »
搜珍网 www.dssz.com