CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - TOGGLE

搜索资源列表

  1. led

    0下载:
  2. Linux LED toggle program from gpio
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-23
    • 文件大小:198717
    • 提供者:nishant
  1. panzerGL-2.2-[1.6]

    0下载:
  2. Cs 1.6 aim,anti-reciul,wall,lambert,esp,nosky.noflesh, crosshair. f12- toggle hack on/off f10- change aimbot key - i added mouse4.. not sure if it works, my mouse is 3 button insert- menu
  3. 所属分类:Shot Game

    • 发布日期:2017-03-27
    • 文件大小:51542
    • 提供者:Andrei
  1. Encoder4_2

    0下载:
  2. Encoder4_2,带优先级的编码器 此实验完成但优先级的4-2编码,以拨动开关SW[3..0]作为输入源(开关上拨时输入为高电平),其中SW[3]的优先级高于SW[2]的优先级,SW[2]的优先级高于SW[1]的优先级,以此类推。编码的结果会以LED灯的形式显示。例如,当SW[2]上拨而SW[3]没有上拨时,LED[1..0]的显示结果将是“10”。-Encoder4_2, with a priority encoder to complete this experiment, but
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:214304
    • 提供者:王晨
  1. SEG_static

    0下载:
  2. SEG_static ,7段数码管译码及静态显示 此实验主要实现7段数码管(共阴极)的译码。拨动开关SW[3..0]代表输入的16进制数,译码电路将此16进制数转译成数码管上的段码,并静态地显示出来。-SEG_static, 7 segment LED decoder and the main achievement of this experiment the static display 7 segment LED (common cathode) decoding. Toggle swit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:241206
    • 提供者:王晨
  1. MATLAB-GUI-instruction-Video-4

    0下载:
  2. MATLAB GUI教学视频4:Radio Button Check Box和Toggle Button的基本用法-MATLAB GUI instruction Video 4: Radio Button Check Box Toggle Button and basic usage
  3. 所属分类:matlab

    • 发布日期:2017-06-01
    • 文件大小:14217665
    • 提供者:Bao
  1. electronic-clock

    0下载:
  2. 内容:DS1302实时时钟数码管显示,时间和日期切换显示 按下按键,循环切换 通过串口调试软件,打开串口,波特率默认9600,点击更新时间即可,如果不行,按下开发板复位重新更新-Content: DS1302 real time clock digital display, time and date press the button to switch the display to toggle the time seconds format debugging software t
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:31366
    • 提供者:zhanglongda
  1. msp430x471x7_bt_01

    0下载:
  2. toggle bit inside ISR MSP 430
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:835
    • 提供者:SUNDAR
  1. Button

    0下载:
  2. 图形化的VB按键,通过图形切换方式实现按钮的按动效果-VB graphical buttons, toggle through the graphical realization of a button press results
  3. 所属分类:Button control

    • 发布日期:2017-03-29
    • 文件大小:31774
    • 提供者:lipeng
  1. testAI

    0下载:
  2. ### ### ### ### ### ### #### # # # Delta3D Examples: testAI # # # ######################################## Uses modules: dtCore, dtABC, dtUtil, dtAI, dtDAL, dtActors, dtAnim Purpose ------- To provide an example of how to use dt
  3. 所属分类:Game Engine

    • 发布日期:2017-04-09
    • 文件大小:11716
    • 提供者:willian
  1. sw_led

    0下载:
  2. _sw_led 拨动开关控制LED-_sw_led toggle switch controls the LED
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:557
    • 提供者:chen
  1. ADI_Blackfin_HardwareTest

    0下载:
  2. 工程名称: ad7606.dpj 工程平台需求: PLM 参考设计平台 √ Blackfin仿真器 √ VisualDSP5.0++ √ 工程描述: 此工程是PLM平台的A/D测试程序。它实现了PLM平台的A/D转换测试功能。它通过sport口从AD7606采集转换后的原始数据,并转换为-10V~+10V的标定值。 运行与测试: (1) 连接仿真器和目标板。 (2) 打开"VisualDSP++ Environment",切换到相应的session。
  3. 所属分类:software engineering

    • 发布日期:2017-04-10
    • 文件大小:1494191
    • 提供者:王辉
  1. flashing_togle

    0下载:
  2. simple gtk example of toggle button with image-simple gtk example of toggle button with image
  3. 所属分类:GDI-Bitmap

    • 发布日期:2017-04-08
    • 文件大小:3803
    • 提供者:rao
  1. MSP430FR57xx_ta0_01

    0下载:
  2. Module: TimerA0 MSP430FR57xx_ta0_01.c Timer0_A3, Toggle P1.0, CCR0 Cont Mode ISR, DCO SMCLK-Module: TimerA0 MSP430FR57xx_ta0_01.c Timer0_A3, Toggle P1.0, CCR0 Cont Mode ISR, DCO SMCLK
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:825
    • 提供者:Andy
  1. MSP430FR57xx_01

    0下载:
  2. MSP430FR57x Demo - Toggle P1.0 using software Descr iption: Toggle P1.0 using software. ACLK = n/a, MCLK = SMCLK = TACLK = default DCO = ~625 KHz-MSP430FR57x Demo- Toggle P1.0 using software Descr iption: Toggle P1.0 using software. ACL
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:546
    • 提供者:Andy
  1. VCDdecoder

    0下载:
  2. 基于GTK-wave做的verilog test bench语法解析器 解析vcd file. 俺自己写的-VCD (Value Change Dump) file is widely used in industry. A VCD file is an ASCII file, which contains header information, variable definitions and the value changes for specified variables, or
  3. 所属分类:Compiler program

    • 发布日期:2017-05-24
    • 文件大小:8051632
    • 提供者:Hao Wang
  1. 8259A

    0下载:
  2. 用开关做中断源输入部分,发光二极管显示输出结果,当分别拨动开关KK1、KK2、KK3、KK4时发光二极管相应作左、右、由中间向两边和反显流水灯显示-With the switch as interrupt source input section, light-emitting diode display output, respectively, when the toggle switch KK1, KK2, KK3, KK4 when the corresponding light-emi
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:995
    • 提供者:xgj
  1. 1LED

    0下载:
  2. Simple code used for toggle leds using Zolertia s Z1 mote
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:12665
    • 提供者:santiagobarros
  1. Demo_S08QG8_Test

    0下载:
  2. 这段代码是QG8开发板的演示程序,通过飞思卡尔单片机上创建-This is the test code that will reside in the QG8 Badge demo to provide an out of the box experience. This file was created from Freescale s demo9S08QG8_test.C file. Depressing either SW1 or SW2 will toggle LED1. RV1
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:80639
    • 提供者:远方
  1. digit_display

    0下载:
  2. 基于硬件描述语言VHDL的通过拨动开关电子管显示0——9-VHDL hardware descr iption language based on the tube through the toggle switch to display 0- 9
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:292695
    • 提供者:嚣张
  1. fet140_ta_03

    0下载:
  2. Descr iption: Toggle P1.0 using software and Timer_A overflow ISR. // In this example an ISR triggers when TA overflows. Inside the TA // overflow ISR P1.0 is toggled. Toggle rate is approximatlely 12Hz. // Proper use of the TAIV interrupt vect
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:819
    • 提供者:刘成
« 1 2 3 4 5 67 8 9 10 11 ... 16 »
搜珍网 www.dssz.com