CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 实例

搜索资源列表

  1. 200622810562278741

    0下载:
  2. 基与vhdl语言实现1602LCM液晶显示屏的驱动函数和实例
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:15515
    • 提供者:lele
  1. xiaoche

    0下载:
  2. 用VHDL编程的智能寻迹小车.驱动电机沿黑线运动,转弯的时候有灯显示.可以综合,实际硬件调试通过.是学习VHDL的很好实例-VHDL programming smart tracking.The car. Electric drives along the black line campaign turning the lights are shown. can comprehensive, practical hardware debugging through. learning is a
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:91938
    • 提供者:张岚
  1. s_pandp_s

    0下载:
  2. 用VHDL编写的并串转换和串并转换实例,希望对您有所帮助,其中输入数据是时钟的16倍-prepared using VHDL and string conversion and string conversion and examples, and I hope to help you, the input data which is 16 times the clock
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1824
    • 提供者:zhou
  1. vhdldata

    0下载:
  2. 很不错的vhdl学习实例 几十个编程事例 轻松上手-very good examples of VHDL learning dozens of examples of programming easy to get started
  3. 所属分类:串口编程

    • 发布日期:2008-10-13
    • 文件大小:8001097
    • 提供者:燕冥
  1. EDA_miaobiao

    0下载:
  2. 《数字电路EDA入门-VHDL程序实例》---数字秒表程序例子-"digital circuit EDA portal-VHDL program examples" -- digital stopwatch procedures example
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:1381
    • 提供者:张文
  1. EDA_jiaotongdeng

    0下载:
  2. 《数字电路EDA入门-VHDL程序实例》---交通灯程序例子-"digital circuit EDA portal-VHDL program examples" -- traffic lights procedures example
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3373
    • 提供者:张文
  1. Digital_system_design_example

    0下载:
  2. 数字系统设计实例.pdf,VHDL语言实现,7.1 半整数分频器的设计7.2 音乐发生器7.3 2FSK/2PSK信号产生器7.4 实用多功能电子表7.5 交通灯控制器 7.6 数字频率计.值得一看。-digital system design examples. Pdf, VHDL, 7.1-integer divider design Music Generator 7.2 7.3 2FSK/2PSK Signal Generator 7.4 Practical multi-functi
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:457718
    • 提供者:王天
  1. vhdl111

    0下载:
  2. 很好的VHDL源码,里面有不少实用的实例!-good VHDL source code, which has many practical examples!
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:255905
    • 提供者:宁新
  1. FPGA_27eg

    1下载:
  2. FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:1279333
    • 提供者:
  1. Multisim_circle

    0下载:
  2. Multisim 8可以将不同类型的电路组成混合电路进行仿真,界面直观,操作方便。本文先研究Multisim 8的操作方法及其应用实例。之后分别根据交通灯系统和出租车计价器系统的设计要求提出其改进的系统实施方案。然后根据两系统的改进方案,分别设计其数据子系统和控制子系统,并画出实现的电原理图,其中部分模块用VHDL语言实现。最后在Multisim 8中进行仿真,验证两系统的改进方案均正确实现了各自设计要求中的诸项功能。-Multisim 8 will be different types of
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:7665547
    • 提供者:
  1. C_9

    0下载:
  2. 100个经典vhdl编程实例, 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器...... -100 vhdl classical programmi
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:337411
    • 提供者:袁虎
  1. 100examplesofvhdl

    0下载:
  2. 关于vhdl的100个实例,对初学者有很大的帮助-on the 100 examples for beginners will be very helpful
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:6848994
    • 提供者:马天于
  1. jiaotongdengcodes

    0下载:
  2. 实例制作的一个有关交通灯的VHDL代码,从各模块到顶层文件的代码一一列出,详细周到,附带仿真波形图和芯片管脚锁定的相关内容,绝对物超所值。-produced an example of the traffic light VHDL code, from the module to the top of the document sets out a code on January 1, thoughtful details, fringe simulation waveform map and
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:151936
    • 提供者:潘世雄
  1. buzzer

    0下载:
  2. vhdl经典实例——信号灯控制,入门者必须掌握-vhdl classic example -- to control lights, beginners must master
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-15
    • 文件大小:180562
    • 提供者:jeffery
  1. 10vhdlexamples

    0下载:
  2. 10个VHDL程序实例,包括加法器,全加器、函数发生器,选择器等。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:42179
    • 提供者:petri
  1. source_vhdl

    0下载:
  2. VHDL设计实例,实现常用的逻辑设计方法。
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:12925
    • 提供者:紫龙
  1. vhdlexample

    0下载:
  2. 详细功能:曾经学习VHDL时公司老工程师给的的经典实例,互相学习。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:24753
    • 提供者:万葵
  1. code

    3下载:
  2. 其中两个项目自己做的:一个是雷达模拟跟踪,基于FPGA/CPLD的,里面包含了PCB和VHDL码,还有一个是SDIO的驱动程序(包括PCB原理图,SDIO协议方面的资料还有就是源码,这项目可用),还有一些嵌入式方面的资料,如TCP/IP协议栈的实现,FPGA的一些仿真实例
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:6978765
    • 提供者:肖寒
  1. 5_3548

    0下载:
  2. vhdl的100个实例,应用于嵌入式系统,对编程者有帮助
  3. 所属分类:开发工具

    • 发布日期:2008-10-13
    • 文件大小:6868630
    • 提供者:yugaoshang
  1. VHDLlanguageimprovingdesign

    0下载:
  2. 在用VHDL语言设计中应充分利用VHDL语言特点,从设计思想语句运用及描述方法上等多方面对电路进行优化,举实例.
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:157224
    • 提供者:程军兴
« 1 2 ... 6 7 8 9 10 1112 13 14 15 16 ... 19 »
搜珍网 www.dssz.com